skip to main content
10.1145/2717764.2723572acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

ISPD 2015 Benchmarks with Fence Regions and Routing Blockages for Detailed-Routing-Driven Placement

Published:29 March 2015Publication History

ABSTRACT

The ISPD~2015 placement-contest benchmarks include all the detailed pin, cell, and wire geometry constraints from the 2014 release, plus

(a) added fence regions and placement blockages,

(b) altered netlists including fixed macro blocks,

(c) reduced standard cell area utilization via larger floorplan outlines, and

(d)] specified upper limits on local cell-area density.

Compared to the 2014 release, these new constraints add realism and increase the difficulty of producing detail-routable wirelength-driven placements.

References

  1. Cadence, Inc. LEF/DEF 5.3 to 5.7 exchange format. 2009. www.si2.org/openeda.si2.org/projects/lefdef.Google ScholarGoogle Scholar
  2. W. Chow, J. Kuang, X. He, W. Cai, and E. F. Y. Young. Cell density-driven detailed placement with displacement constraint. In International Symposium on Physical Design, ISPD'14, Petaluma, CA, USA, March 30 - April 02, 2014, pages 3--10, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. A. A. Kennings, N. K. Darav, and L. Behjat. Detailed placement accounting for technology constraints. In VLSI-SoC, pages 1--6, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  4. W. Liu, T. Chien, and T. Wang. A study on unroutable placement recognition. In International Symposium on Physical Design, ISPD'14, Petaluma, CA, USA, March 30 - April 02, 2014, pages 19--26, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. W. Liu, W. Kao, Y. Li, and K. Chao. NCTU-GR 2.0: Multithreaded collision-aware global routing with bounded-length maze routing. IEEE Trans. on CAD of Integrated Circuits and Systems, 32(5):709--722, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. W. Liu, C. Koh, and Y. Li. Optimization of placement solutions for routability. In The 50th Annual Design Automation Conference 2013, DAC '13, Austin, TX, USA, May 29 - June 07, 2013, page 153, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. W. Liu, Y. Wei, C. C. N. Sze, C. J. Alpert, Z. Li, Y. Li, and N. Viswanathan. Routing congestion estimation with real design constraints. In The 50th Annual Design Automation Conference 2013, DAC '13, Austin, TX, USA, May 29 - June 07, 2013, page 92, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Q. Ma and E. Young. Multivoltage floorplan design. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 29:607--617, April 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Mentor Graphics, Inc. Olympus-SoC place and route for advanced node designs. 2015. www.mentor.com/products/ic_nanometer_design/place-route/olympus-soc.Google ScholarGoogle Scholar
  10. G.-J. Nam. ISPD 2006 placement contest: Benchmark suite and results. In Proceedings of the 2006 International Symposium on Physical Design, pages 167--167, New York, NY, USA, 2006. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. G.-J. Nam and J. Cong. Modern Circuit Placement: Best Practices and Results. Springer Publishing Company, Incorporated, 1st edition, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. M. M. Ozdal, C. Amin, A. Ayupov, S. M. Burns, G. R. Wilke, and C. Zhuo. An improved benchmark suite for the ISPD-2013 discrete cell sizing contest. In Proceedings of the 2013 ACM International Symposium on International Symposium on Physical Design, pages 168--170, New York, NY, USA, 2013. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. H. Shojaei, A. Davoodi, and J. Linderoth. Planning for local net congestion in global routing. In Proceedings of the 2013 ACM International Symposium on International Symposium on Physical Design, pages 85--92, New York, NY, USA, 2013. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. N. Viswanathan, C. Alpert, C. Sze, Z. Li, and Y. Wei. The DAC 2012 routability-driven placement contest and benchmark suite. In Proceedings of the 49th Annual Design Automation Conference, pages 774--782, New York, NY, USA, 2012. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. C.-K. Wang, C.-C. Huang, S. S.-Y. Liu, C.-Y. Chin, S.-T. Hu, W.-C. Wu, and H.-M. Chen. Closing the gap between global and detailed placement: Techniques for improving routability. In Proceedings of the 2015 on International Symposium on Physical Design, New York, NY, USA, 2015. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Y. Wei, C. Sze, N. Viswanathan, Z. Li, C. J. Alpert, L. Reddy, A. D. Huber, G. E. Tellez, D. Keller, and S. S. Sapatnekar. Glare: Global and local wiring aware routability evaluation. In Proceedings of the 49th Annual Design Automation Conference, pages 768--773, New York, NY, USA, 2012. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. V. Yutsis, I. S. Bustany, D. Chinnery, J. R. Shinnerl, and W.-H. Liu. ISPD 2014 benchmarks with sub-45nm technology rules for detailed-routing-driven placement. In Proceedings of the 2014 on International Symposium on Physical Design, ISPD '14, pages 161--168, New York, NY, USA, 2014. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Y. Zhang and C. Chu. GDRouter: interleaved global routing and detailed routing for ultimate routability. In Proceedings of the 49th Annual Design Automation Conference, pages 597--602, New York, NY, USA, 2012. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. ISPD 2015 Benchmarks with Fence Regions and Routing Blockages for Detailed-Routing-Driven Placement

                Recommendations

                Comments

                Login options

                Check if you have access through your login credentials or your institution to get full access on this article.

                Sign in
                • Published in

                  cover image ACM Conferences
                  ISPD '15: Proceedings of the 2015 Symposium on International Symposium on Physical Design
                  March 2015
                  204 pages
                  ISBN:9781450333993
                  DOI:10.1145/2717764

                  Copyright © 2015 ACM

                  Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

                  Publisher

                  Association for Computing Machinery

                  New York, NY, United States

                  Publication History

                  • Published: 29 March 2015

                  Permissions

                  Request permissions about this article.

                  Request Permissions

                  Check for updates

                  Qualifiers

                  • research-article

                  Acceptance Rates

                  ISPD '15 Paper Acceptance Rate14of37submissions,38%Overall Acceptance Rate62of172submissions,36%

                PDF Format

                View or Download as a PDF file.

                PDF

                eReader

                View online with eReader.

                eReader