skip to main content
research-article

DFT Assisted Techniques for Peak Launch-to-Capture Power Reduction during Launch-On-Shift At-Speed Testing

Published:02 December 2015Publication History
Skip Abstract Section

Abstract

Scan-based testing is crucial to ensuring correct functioning of chips. In this scheme, the scan and capture phases are interleaved. It is well known that for large designs, excessive switching activity during the launch-to-capture window leads to high voltage droop on the power grid, ultimately resulting in false delay failures during at-speed test. This article proposes a new design-for-testability (DFT) scheme for launch-on-shift (LOS) testing, which ensures that the combinational logic remains undisturbed between the interleaved capture phases, providing computer-aided-design (CAD) tools with extra search space for minimizing launch-to-capture switching activity through test pattern ordering (TPO). We further propose a new TPO algorithm that keeps track of the don't cares during the ordering process, so that the don't care filling step after the ordering process yields a better reduction in launch-to-capture switching activity compared to any other technique in the literature. The proposed DFT-assisted technique, when applied to circuits in ITC99 benchmark suite, produces an average reduction of 17.68% in peak launch-to-capture switching activity (CSA) compared to the best known lowpower TPO technique. Even for circuits whose test cubes are not rich in don't care bits, the proposed technique produces an average reduction of 15% in peak CSA, while for the circuits with test cubes rich in don't care bits (≥75%), the average reduction is 24%. The proposed technique also reduces the average power dissipation (considering both scan cells and combinational logic) during the scan phase by about 43.5% on an average, compared to the adjacent filling technique.

References

  1. M. Abramovici, P. R. Menon, and D. T. Miller. 1983. Critical path tracing - An alternative to fault simulation. In Proceedings of the Design Automation Conference. IEEE, 214--220. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, and Kaushik Roy. 2005. A novel low-overhead delay testing technique for arbitrary two-pattern test application. In Proceedings of the Design Automation and Test Conference in Europe. IEEE, 1136--1141. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. B. Dervisoglu and G. Stong. 1991. Design for testability: Using scanpath techniques for path-delay test and measurement. In Proceedings of the International Test Conference. IEEE, 365--374. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. V. R. Devanathan, C. P. Ravikumar, and V. Kamakoti. 2007a. Glitch-aware pattern generation and optimization framework for power-safe scan test. In Proceedings of the VLSI Test Symposium. IEEE, 167--172. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. V. R. Devanathan, C. P. Ravikumar, and V. Kamakoti. 2007b. A stochastic pattern generation and optimization framework for variation-tolerant, power-safe scan test. In Proceedings of the International Test Conference. IEEE, 1--10.Google ScholarGoogle Scholar
  6. S. Ganesan and S. P. Khatri. 2008. A modified scan-D flip-flop design to reduce test power. In Proceedings of the International Test Synthesis Workshop.Google ScholarGoogle Scholar
  7. Patrick Girard. 2002. Survey of low-power testing of VLSI circuits. IEEE Des. Test Comput. 19, 3 (March 2002), 80--90. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. P. Girard, C. Landrault, S. Pravossoudovitch, and D. Severac. 1998. Reducing power consumption during test application by test vector ordering. In Proceedings of the International Symposium on Circuits and Systems. IEEE, 296--299.Google ScholarGoogle Scholar
  9. C. Thomas Glover and M. Ray Mercer. 1988. A method of delay fault test generation. In Proceedings of the Design Automation Conference. IEEE, 90--95. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. X. Kavousianos, D. Bakalis, M. Bellos, and D. Nikolos. 2004. An efficient test vector ordering method for low power testing. In Proceedings of the International Symposium on VLSI. IEEE Computer Society, 285--288.Google ScholarGoogle Scholar
  11. George Kurian, V. V. Narayana Rao, Virendra Patidhar, and V. Kamakoti. 2009. Test power reduction using integrated scan cell and test vector reordering techniques on linear scan and double tree scan architectures. ASP J. Low Power Electron. 5, 1 (April 2009), 58--68.Google ScholarGoogle Scholar
  12. John Larusic, Abraham P. Punnen, and Eric Aubanel. 2012. Experimental analysis of heuristics for the bottleneck traveling salesman problem. J. Heuristics 18, 3 (June 2012), 473--503. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. X. Lin, J. Rajski, I. Pomeranz, and S. M. Reddy. 2001. On static test compaction and test pattern ordering for scan designs. In Proceedings of the International Test Conference. IEEE, 1088--1097. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. X. Liu. 2004. ATPG and DFT algorithms for delay fault testing. Ph.D. Dissertation, Virginia Polytechnic Institute and State University, Virginia, VA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. A. Mishra, N. Sinha, Satdev, V. Singh, S. Chakravarty, and A. D. Singh. 2010. Modified scan flip-flop for low power testing. In Proceedings of the Asian Test Symposium. IEEE, 367--370. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. K. Najeeb, K. Gururaj, V. Kamakoti, and V. M. Vedula. 2007. Controllability-driven power virus generation for digital circuits. In Proceedings of the 20th International Conference on VLSI Design. Held Jointly the 6th International Conference on Embedded Systems. 407--412. DOI:http://dx.doi.org/10.1109/VLSID.2007.55 Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. P. Pant, J. Zelman, G. Colon-Bonet, J. Flint, and S. Yurash. 2010. Lessons from at-speed scan deployment on an Intel Itanium microprocessor. In Proceedings of the IEEE International Test Conference (ITC). 1--8. DOI:http://dx.doi.org/10.1109/TEST.2010.5699256Google ScholarGoogle Scholar
  18. S. Pant. 2008. Design and analysis of power distribution networks in VLSI circuits. Ph.D. Thesis, University of Michigan.Google ScholarGoogle Scholar
  19. Songwei Pei, Huawei Li, and Xiaowei Li. 2010. An on-chip clock generation scheme for faster-than-at-speed delay testing. In Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE). 1353--1356. DOI:http://dx.doi.org/10.1109/DATE.2010.5457020 Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Seetal Potluri, Satya Trinadh, Roopashree Baskaran, Nitin Chandrachoodan, and V. Kamakoti. 2013. PinPoint: An algorithm for enhancing diagnostic resolution using capture cycle power information. In Proceedings of the 18th IEEE European Test Symposium (ETS). DOI:http://dx.doi.org/10.1109/ETS.2013.6569384Google ScholarGoogle Scholar
  21. L. N. Reddy, I. Pomeranz, and S. M. Reddy. 1992. ROTCO: A reversed order test compaction technique. In Proceedings of the Euro-ASIC. IEEE, 189--194.Google ScholarGoogle Scholar
  22. Jayashree Saxena, Kenneth M. Butler, Vinay B. Jayaram, Subhendu Kundu, N. V. Arvind, Pravin Sreeprakash, and Manfred Hachinger. 2003. A case study of IR-drop in structured at-speed testing. In Proceedings of the International Test Conference. 1098--1104.Google ScholarGoogle ScholarCross RefCross Ref
  23. M. H. Schulz, E. Trischler, and T. M. Sarfert. 1988. SOCRATES: A highly efficient automatic test pattern generation system. IEEE Tran. Comput. Aid. Des. Integr. Circuits Syst. 7, 1 (Jan. 1988), 126--137. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. J. Sparso and S. Furber. 2001. Principles of Asynchronous Circuit Design, A Systems Perspective. Kluwer Publishers.Google ScholarGoogle Scholar
  25. F. Wu, L. Dilillo, A. Bosio, P. Girard, S. Pravossoudovitch, A. Virazel, M. Tehranipoor, K. Miyase, X. Wen, and N. Ahmed. 2011. Power reduction through X-filling of transition fault test vectors for LOS testing. In Proceedings of the 6th International Conference on Design Technology of Integrated Systems in Nanoscale Era (DTIS). 1--6. DOI:http://dx.doi.org/10.1109/DTIS.2011.5941434Google ScholarGoogle Scholar
  26. Gefu Xu. 2007. Delay test scan flip-flop (DTSFF) design and its applications for scan based delay testing. Ph.D. Dissertation, Auburn University.Google ScholarGoogle Scholar

Index Terms

  1. DFT Assisted Techniques for Peak Launch-to-Capture Power Reduction during Launch-On-Shift At-Speed Testing

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM Transactions on Design Automation of Electronic Systems
        ACM Transactions on Design Automation of Electronic Systems  Volume 21, Issue 1
        November 2015
        464 pages
        ISSN:1084-4309
        EISSN:1557-7309
        DOI:10.1145/2852253
        • Editor:
        • Naehyuck Chang
        Issue’s Table of Contents

        Copyright © 2015 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 2 December 2015
        • Accepted: 1 June 2015
        • Revised: 1 March 2015
        • Received: 1 October 2014
        Published in todaes Volume 21, Issue 1

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
        • Research
        • Refereed

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader