skip to main content
10.1145/2897937.2897969acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

A novel cross-layer framework for early-stage power delivery and architecture co-exploration

Published:05 June 2016Publication History

ABSTRACT

With the reduced noise margin brought by relentless technology scaling, power integrity assurance has become more challenging than ever. On the other hand, traditional design methodologies typically focus on a single design layer without much cross-layer interaction, potentially introducing unnecessary guard-band and wasting significant design resources. Both issues imperatively call for a cross-layer framework for the co-exploration of power delivery (PD) and system architecture, especially at early design stage with larger design freedom. Unfortunately, such a framework does not exist yet in the literature. As a step forward, this paper provides a run-time simulation framework of both PD and architecture and captures their interactions. Enabled by the proposed recursive run-time PD model, it handles an entire PD system on-the-fly simulation with <1% deviation from SPICE. Moreover, with a seamless interaction among architecture, power and PD simulators, it has the capability to simulate benchmarks with millions of cycles within reasonable time. A support vector regression (SVR) model is employed to further speed up power estimation of functional units to millions cycle/second with good accuracy. The experimental results of running PARSEC suite have illustrated the framework's capability to explore hardware configurations to discover the co-effect of PD and architecture for early stage optimization. Moreover, it also illustrates multiple over-pessimisms in traditional methodologies.

References

  1. G. Gammie, et.al., "SmartReflex power and performance management technologies for 90 nm, 65 nm, and 45 nm mobile application processors," Proc. of the IEEE, vol. 98(2):144--159, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  2. M. Bohr, "The new era of scaling in an SoC world", Proc. ISSCC, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  3. K. Arabi, et.al., "Power supply noise in SoCs: metrics, management, and measurement," IEEE D & T, vol. 24(3): 236--244, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. R. Zhang, et.al., "Some limits of power delivery in the multicore era," Proc. WEED, 2012.Google ScholarGoogle Scholar
  5. E. Chiprout, "On-die power grids: the missing link", Proc. DAC, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. C. Zhuo, et. al., "A silicon-validated methodology for power delivery modeling and simulation," Proc. ICCAD, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. W. Cheng, et.al., "Worst Case Switching Pattern for Core Noise Analysis," Proc. DesignCon, 2009.Google ScholarGoogle Scholar
  8. M. Gupta, et.al., "Understanding voltage variations in chip multiprocessors using a distributed PD network," Proc. DATE, 2007 Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. M. Gupta, et.al., "DeCoR: A delayed commit and rollback mechanism for handling inductive noise in processors," Proc. HPCA, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  10. M. Healy, et.al., "Integrated microarchitectural floorplanning and run-time controller for inductive noise mitigation," ACM TODAES, vol. 16(4): 1--25, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. S. Kanev, "Motivating software-driven current balancing in flexible voltage-stacked multicore processors," Thesis, Harvard University, 2012.Google ScholarGoogle Scholar
  12. Y. Kim and L. John, "Automated dI/dt stressmark generation for microprocessor power delivery networks," Proc. ISLPED, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. H. Zheng, et. al., "On-package decoupling optimization with package macromodels," Proc. CICC, 2003.Google ScholarGoogle Scholar
  14. J. Zhao, et.al., "Effects of power/ground via distribution on the power/ground performance of C4/BGA packages," Proc. EPEP, 1998.Google ScholarGoogle Scholar
  15. T. H. Chen, et.al., "Efficient large-scale power grid analysis based on preconditioned Krylov-subspace iterative methods," Proc. DAC, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. H. Qian, et. al.,"Power grid analysis using random walks," IEEE TCAD, vol. 24 (8), 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. J. Kozhaya, et. al.,"A multigrid-like technique for power grid analysis," IEEE TCAD, vol. 21(10), 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Z. Feng and P. Li, "Multigrid on GPU: tackling power grid analysis on parallel simt platforms," Proc. ICCAD, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. X.-D. S. Tan and C.-J. R. Shi, "Fast power/ground network optimization based on equivalent circuit modeling," Proc.DAC, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. F. Najm, "Overview of vectorless/early power grid verification", Proc. ICCAD, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. N. Binkert, et. al., "The gem5 simulator," ACM SIGARCH CAN, vol. 39(2):1--7, 2011 Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. S. Li, et. al., "McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures," Proc. MICRO, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. K. Wang, et. al., "Walking pads: Fast power-supply pad-placement optimization," Proc. ASPDAC, 2014Google ScholarGoogle Scholar
  24. K. Wang, et. al., "Walking pads: Managing C4 placement for transient voltage noise minimization," Proc. DAC, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. R. Zhang, et. al., "Architecture implications of pads as a scarce resource," Proc. ISCA, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. A. Butko, et. al., "Accuracy evaluation of GEM5 simulator system," Proc. ReCoSoC, 2012.Google ScholarGoogle Scholar
  27. C. Zhuo, et. al., "Early-stage power grid design: extraction, modeling and optimization," Proc. DAC, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. J. Phillips and L. Silveira, "Poorman's TBR: asimple model reduction scheme," Proc. DATE, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. B. Gustavsen, et. al., ""Rational approximation of frequency domain responses by vector fitting," IEEE TPD, vol. 14(3): 1052--1061, 1999.Google ScholarGoogle Scholar
  30. R. Zeng and A. Sinsky, "Modified rational function modeling technique for high speed circuits," Proc. MTT-S, 2006.Google ScholarGoogle Scholar
  31. B. Boser, et. al., "A training algorithm for optimal margin classfier," Proc. COLT, 1992. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. J. Smola, et. al., "On a kernel-based method for pattern recognition regression approximation and operator inversion," Algorithm, vol. 22:211--231, 1998.Google ScholarGoogle ScholarCross RefCross Ref
  33. A. Smola, et. al., "A Tutorial on Support Vector Regression," Statistics and Computing, vol. 14(3): 199--222, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Other conferences
    DAC '16: Proceedings of the 53rd Annual Design Automation Conference
    June 2016
    1048 pages
    ISBN:9781450342360
    DOI:10.1145/2897937

    Copyright © 2016 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 5 June 2016

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article

    Acceptance Rates

    Overall Acceptance Rate1,770of5,499submissions,32%

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader