ABSTRACT
With the reduced noise margin brought by relentless technology scaling, power integrity assurance has become more challenging than ever. On the other hand, traditional design methodologies typically focus on a single design layer without much cross-layer interaction, potentially introducing unnecessary guard-band and wasting significant design resources. Both issues imperatively call for a cross-layer framework for the co-exploration of power delivery (PD) and system architecture, especially at early design stage with larger design freedom. Unfortunately, such a framework does not exist yet in the literature. As a step forward, this paper provides a run-time simulation framework of both PD and architecture and captures their interactions. Enabled by the proposed recursive run-time PD model, it handles an entire PD system on-the-fly simulation with <1% deviation from SPICE. Moreover, with a seamless interaction among architecture, power and PD simulators, it has the capability to simulate benchmarks with millions of cycles within reasonable time. A support vector regression (SVR) model is employed to further speed up power estimation of functional units to millions cycle/second with good accuracy. The experimental results of running PARSEC suite have illustrated the framework's capability to explore hardware configurations to discover the co-effect of PD and architecture for early stage optimization. Moreover, it also illustrates multiple over-pessimisms in traditional methodologies.
- G. Gammie, et.al., "SmartReflex power and performance management technologies for 90 nm, 65 nm, and 45 nm mobile application processors," Proc. of the IEEE, vol. 98(2):144--159, 2010.Google ScholarCross Ref
- M. Bohr, "The new era of scaling in an SoC world", Proc. ISSCC, 2009.Google ScholarCross Ref
- K. Arabi, et.al., "Power supply noise in SoCs: metrics, management, and measurement," IEEE D & T, vol. 24(3): 236--244, 2007. Google ScholarDigital Library
- R. Zhang, et.al., "Some limits of power delivery in the multicore era," Proc. WEED, 2012.Google Scholar
- E. Chiprout, "On-die power grids: the missing link", Proc. DAC, 2010. Google ScholarDigital Library
- C. Zhuo, et. al., "A silicon-validated methodology for power delivery modeling and simulation," Proc. ICCAD, 2012. Google ScholarDigital Library
- W. Cheng, et.al., "Worst Case Switching Pattern for Core Noise Analysis," Proc. DesignCon, 2009.Google Scholar
- M. Gupta, et.al., "Understanding voltage variations in chip multiprocessors using a distributed PD network," Proc. DATE, 2007 Google ScholarDigital Library
- M. Gupta, et.al., "DeCoR: A delayed commit and rollback mechanism for handling inductive noise in processors," Proc. HPCA, 2008.Google ScholarCross Ref
- M. Healy, et.al., "Integrated microarchitectural floorplanning and run-time controller for inductive noise mitigation," ACM TODAES, vol. 16(4): 1--25, 2011. Google ScholarDigital Library
- S. Kanev, "Motivating software-driven current balancing in flexible voltage-stacked multicore processors," Thesis, Harvard University, 2012.Google Scholar
- Y. Kim and L. John, "Automated dI/dt stressmark generation for microprocessor power delivery networks," Proc. ISLPED, 2011. Google ScholarDigital Library
- H. Zheng, et. al., "On-package decoupling optimization with package macromodels," Proc. CICC, 2003.Google Scholar
- J. Zhao, et.al., "Effects of power/ground via distribution on the power/ground performance of C4/BGA packages," Proc. EPEP, 1998.Google Scholar
- T. H. Chen, et.al., "Efficient large-scale power grid analysis based on preconditioned Krylov-subspace iterative methods," Proc. DAC, 2001. Google ScholarDigital Library
- H. Qian, et. al.,"Power grid analysis using random walks," IEEE TCAD, vol. 24 (8), 2005. Google ScholarDigital Library
- J. Kozhaya, et. al.,"A multigrid-like technique for power grid analysis," IEEE TCAD, vol. 21(10), 2002. Google ScholarDigital Library
- Z. Feng and P. Li, "Multigrid on GPU: tackling power grid analysis on parallel simt platforms," Proc. ICCAD, 2008. Google ScholarDigital Library
- X.-D. S. Tan and C.-J. R. Shi, "Fast power/ground network optimization based on equivalent circuit modeling," Proc.DAC, 2001. Google ScholarDigital Library
- F. Najm, "Overview of vectorless/early power grid verification", Proc. ICCAD, 2012. Google ScholarDigital Library
- N. Binkert, et. al., "The gem5 simulator," ACM SIGARCH CAN, vol. 39(2):1--7, 2011 Google ScholarDigital Library
- S. Li, et. al., "McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures," Proc. MICRO, 2009. Google ScholarDigital Library
- K. Wang, et. al., "Walking pads: Fast power-supply pad-placement optimization," Proc. ASPDAC, 2014Google Scholar
- K. Wang, et. al., "Walking pads: Managing C4 placement for transient voltage noise minimization," Proc. DAC, 2014. Google ScholarDigital Library
- R. Zhang, et. al., "Architecture implications of pads as a scarce resource," Proc. ISCA, 2014. Google ScholarDigital Library
- A. Butko, et. al., "Accuracy evaluation of GEM5 simulator system," Proc. ReCoSoC, 2012.Google Scholar
- C. Zhuo, et. al., "Early-stage power grid design: extraction, modeling and optimization," Proc. DAC, 2014. Google ScholarDigital Library
- J. Phillips and L. Silveira, "Poorman's TBR: asimple model reduction scheme," Proc. DATE, 2004. Google ScholarDigital Library
- B. Gustavsen, et. al., ""Rational approximation of frequency domain responses by vector fitting," IEEE TPD, vol. 14(3): 1052--1061, 1999.Google Scholar
- R. Zeng and A. Sinsky, "Modified rational function modeling technique for high speed circuits," Proc. MTT-S, 2006.Google Scholar
- B. Boser, et. al., "A training algorithm for optimal margin classfier," Proc. COLT, 1992. Google ScholarDigital Library
- J. Smola, et. al., "On a kernel-based method for pattern recognition regression approximation and operator inversion," Algorithm, vol. 22:211--231, 1998.Google ScholarCross Ref
- A. Smola, et. al., "A Tutorial on Support Vector Regression," Statistics and Computing, vol. 14(3): 199--222, 2004. Google ScholarDigital Library
Recommendations
A cross-layer design exploration of charge-recycled power-delivery in many-layer 3d-IC
DAC '15: Proceedings of the 52nd Annual Design Automation Conference3D-IC technology brings both the opportunities to continue the historical trend of integration-level scaling and the challenges to deliver power reliably and efficiently. Voltage-stacking (V-S), a charge-recycled power delivery scheme that connects the ...
A Cross-Layer Approach for Early-Stage Power Grid Design and Optimization
Special Issue on Cross-Layer System Design and Regular PapersPower integrity has become increasingly important for sub-32nm designs. Many prior works have discussed power grid design and optimization in the post-layout stage, when design change is inevitably expensive and difficult. In contrast, during the early ...
Comments