skip to main content
10.1145/2897937.2897990acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Area optimization of resilient designs guided by a mixed integer geometric program

Published:05 June 2016Publication History

ABSTRACT

Timing resilient designs can remove variation margins by adding error detecting logic (EDL) that detects timing errors when execution completes within a resiliency window. Speeding up near-critical-paths during logic synthesis can reduce the amount of EDL needed but at the cost of increasing logic area. This creates a logic optimization strategy called resynthesis. This paper proposes a gate-sizing based mixed integer geometric programming framework to analytically model and optimize paths during resynthesis. We evaluate our approach on a set of ISCAS89 benchmarks and compare the overall area improvement after resynthesis guided by our mathematical model versus a previously published naive brute-force approach. Our experimental results demonstrate that our approach achieves up to 11% larger average area improvement.

References

  1. K. Bowman, J. Tschanz, N. S. Kim, J. Lee, C. Wilkerson, S. Lu, T. Karnik, and V. De. Energy-efficient and metastability-immune resilient circuits for dynamic variation tolerance. IEEE JSCC, 44(1):49--63, Jan 2009.Google ScholarGoogle Scholar
  2. S. Boyd, S.-J. Kim, L. Vandenberghe, and A. Hassibi. A tutorial on geometric programming. Optimization and engineering, 8(1):67--127, 2007.Google ScholarGoogle ScholarCross RefCross Ref
  3. M. Choudhury, V. Chandra, K. Mohanram, and R. Aitken. Timber: Time borrowing and error relaying for online timing error resilience. In DATE, pages 1554--1559, March 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. S. Das, C. Tokunaga, S. Pant, W.-H. Ma, S. Kalaiselvan, K. Lai, D. Bull, and D. Blaauw. Razor II: In situ error detection and correction for PVT and SER tolerance. IEEE JSCC, 44(1):32--48, Jan 2009.Google ScholarGoogle Scholar
  5. R. Dreslinski, M. Wieckowski, D. Blaauw, D. Sylvester, and T. Mudge. Near-threshold computing: Reclaiming moore's law through energy efficient integrated circuits. Proceedings of the IEEE, 98(2):253--266, Feb 2010.Google ScholarGoogle ScholarCross RefCross Ref
  6. D. Ernst, N. S. Kim, S. Das, S. Pant, R. Rao, T. Pham, C. Ziesler, D. Blaauw, T. Austin, K. Flautner, and T. Mudge. Razor: a low-power pipeline based on circuit-level timing speculation. In MICRO-36, pages 7--18, Dec 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. M. Fojtik, D. Fick, Y. Kim, N. Pinckney, D. Harris, D. Blaauw, and D. Sylvester. Bubble razor: Eliminating timing margins in an ARM cortex-M3 processor in 45 nm CMOS using architecturally independent error detection and correction. IEEE JSCC, 48(1):66--81, Jan 2013.Google ScholarGoogle Scholar
  8. D. Hand, M. Trevisan Moreira, H.-H. Huang, D. Chen, F. Butzke, Z. Li, M. Gibiluka, M. Breuer, N. Vilar Calazans, and P. Beerel. Blade -- a timing violation resilient asynchronous template. In ASYNC, pages 21--28, May 2015.Google ScholarGoogle ScholarCross RefCross Ref
  9. A. B. Kahng, S. Kang, J. Li, and J. Pineda De Gyvez. An improved methodology for resilient design implementation. TODAES, 20(4):66, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Y. Kunitake, T. Sato, H. Yasuura, and T. Hayashida. Possibilities to miss predicting timing errors in canary flip-flops. In MWSCAS, pages 1--4, Aug 2011.Google ScholarGoogle ScholarCross RefCross Ref
  11. Y. Liu, R. Ye, F. Yuan, R. Kumar, and Q. Xu. On logic synthesis for timing speculation. In ICCAD, pages 591--596. IEEE, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Y. Liu, F. Yuan, and Q. Xu. Re-synthesis for cost-efficient circuit-level timing speculation. In DAC, pages 158--163. ACM, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. M. T. Moreira, D. Hand, N. L. V. Calazans, and P. A. Beerel. TDTB error detecting latches: Timing violation sensitivity analysis and optimization. In ISQED, 2015.Google ScholarGoogle ScholarCross RefCross Ref
  14. M. Nakai, S. Akui, K. Seno, T. Meguro, T. Seki, T. Kondo, A. Hashiguchi, H. Kawahara, K. Kumano, and M. Shimura. Dynamic voltage and frequency management for a low-power embedded microprocessor. IEEE JSCC, 40(1):28--35, 2005.Google ScholarGoogle Scholar
  15. J. Tschanz, K. Bowman, S. Walstra, M. Agostinelli, T. Karnik, and V. De. Tunable replica circuits and adaptive voltage-frequency techniques for dynamic voltage, temperature, and aging variation tolerance. In VLSI Circuits, pages 112--113, June 2009.Google ScholarGoogle Scholar
  16. YALMIP: modelling language for advanced modeling and solution of convex and nonconvex optimization problems. http://users.isy.liu.se/johanl/yalmip/.Google ScholarGoogle Scholar
  17. R. Ye, F. Yuan, H. Zhou, and Q. Xu. Clock skew scheduling for timing speculation. In DATE, pages 929--934. IEEE, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Other conferences
    DAC '16: Proceedings of the 53rd Annual Design Automation Conference
    June 2016
    1048 pages
    ISBN:9781450342360
    DOI:10.1145/2897937

    Copyright © 2016 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 5 June 2016

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article

    Acceptance Rates

    Overall Acceptance Rate1,770of5,499submissions,32%

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader