skip to main content
10.1145/2897937.2898017acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

EffiTest: efficient delay test and statistical prediction for configuring post-silicon tunable buffers

Published:05 June 2016Publication History

ABSTRACT

At nanometer manufacturing technology nodes, process variations significantly affect circuit performance. To combat them, post-silicon clock tuning buffers can be deployed to balance timing budgets of critical paths for each individual chip after manufacturing. The challenge of this method is that path delays should be measured for each chip to configure the tuning buffers properly. Current methods for this delay measurement rely on path-wise frequency stepping. This strategy, however, requires too much time from expensive testers. In this paper, we propose an efficient delay test framework (EffiTest) to solve the post-silicon testing problem by aligning path delays using the already-existing tuning buffers in the circuit. In addition, we only test representative paths and the delays of other paths are estimated by statistical delay prediction. Experimental results demonstrate that the proposed method can reduce the number of frequency stepping iterations by more than 94% with only a slight yield loss.

References

  1. S. Naffziger, B. Stackhouse, T. Grutkowski, D. Josephson, J. Desai, E. Alon, and M. Horowitz. The implementation of a 2-core, multi-threaded Itanium family processor. IEEE J. Solid-State Circuits, 41(1):197--209, January 2006.Google ScholarGoogle ScholarCross RefCross Ref
  2. J. Tsai, D. Baik, C. C.-P. Chen, and K. K. Saluja. A yield improvement methodology using pre- and post-silicon statistical clock scheduling. In Proc. Int. Conf. Comput.-Aided Des., pages 611--618, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. J. Tsai, L. Zhang, and C. C.-P. Chen. Statistical timing analysis driven post-silicon-tunable clock-tree synthesis. In Proc. Int. Conf. Comput.-Aided Des., pages 575--581, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. V. Khandelwal and A. Srivastava. Variability-driven formulation for simultaneous gate sizing and post-silicon tunability allocation. In Proc. Int. Symp. Phys. Des., pages 11--18, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. K. Nagaraj and S. Kundu. A study on placement of post silicon clock tuning buffers for mitigating impact of process variation. In Proc. Design, Autom., and Test Europe Conf., pages 292--295, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Z. Lak and N. Nicolici. A novel algorithmic approach to aid post-silicon delay measurement and clock tuning. IEEE Trans. Comput., 63(5):1074--1084, May 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. B. Li, N. Chen, and U. Schlichtmann. Fast statistical timing analysis for circuits with post-silicon tunable clock buffers. In Proc. Int. Conf. Comput.-Aided Des., pages 111--117, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. K. Nagaraj and S. Kundu. An automatic post silicon clock tuning system for improving system performance based on tester measurements. In Proc. Int. Test Conf., pages 1--8, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  9. D. Tadesse, J. Grodstein, and R. I. Bahar. AutoRex: An automated post-silicon clock tuning tool. In Proc. Int. Test Conf., pages 1--10, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  10. D. Blaauw, K. Chopra, A. Srivastava, and L. Scheffer. Statistical timing analysis: from basic principles to state of the art. IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 27(4):589--607, April 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. J. P. Fishburn. Clock skew optimization. IEEE Trans. Comput., 39(7):945--951, July 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Grace Li Zhang, Bing Li, and Ulf Schlichtmann. Sampling-based buffer insertion for post-silicon yield improvement under process variability. In Proc. Design, Autom., and Test Europe Conf., 2016.Google ScholarGoogle ScholarCross RefCross Ref
  13. R. A. Johnson and D. W. Wichern. Applied multivariate statistical analysis. Pearson Prentice Hall, Upper Saddle River, 2007.Google ScholarGoogle Scholar
  14. Q. Liu and S. S. Sapatnekar. A framework for scalable post-silicon statistical delay prediction under process variations. IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 28(8):1201--1212, August 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. J. Singh and S. S. Sapatnekar. A scalable statistical static timing analyzer incorporating correlated non-gaussian and gaussian parameter variations. IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 27(1):160--173, January 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. I. T. Jolliffe. Principal Component Analysis. Springer, 2002.Google ScholarGoogle Scholar
  17. H. Chang and S. S. Sapatnekar. Statistical timing analysis under spatial correlations. IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., 24(9):1467--1482, September 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. D. S. Chen, R. G. Batson, and Y. Dang. Applied Integer Programming: Modeling and Solution. Wiley, 2011.Google ScholarGoogle Scholar
  19. S. Tam, S. Rusu, U. Nagarji Desai, R. Kim, Ji Zhang, and I. Young. Clock generation and distribution for the first IA-64 microprocessor. IEEE J. Solid-State Circuits, 35(11):1545--1552, November 2000.Google ScholarGoogle ScholarCross RefCross Ref
  20. Gurobi Optimization, Inc. Gurobi optimizer reference manual, 2013.Google ScholarGoogle Scholar
  1. EffiTest: efficient delay test and statistical prediction for configuring post-silicon tunable buffers

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Other conferences
      DAC '16: Proceedings of the 53rd Annual Design Automation Conference
      June 2016
      1048 pages
      ISBN:9781450342360
      DOI:10.1145/2897937

      Copyright © 2016 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 5 June 2016

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader