skip to main content
10.1145/2897937.2898050acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Nonvolatile memory design based on ferroelectric FETs

Published:05 June 2016Publication History

ABSTRACT

Ferroelectric FETs (FEFETs) offer intriguing possibilities for the design of low power nonvolatile memories by virtue of their three-terminal structure coupled with the ability of the ferroelectric (FE) material to retain its polarization in the absence of an electric field. Utilizing the distinct features of FEFETs, we propose a 2-transistor (2T) FEFET-based nonvolatile memory with separate read and write paths. With proper co-design at the device, cell and array levels, the proposed design achieves non-destructive read and lower write power at iso-write speed compared to standard FERAM. In addition, the FEFET-based memory exhibits high distinguishability with six orders of magnitude difference in the read currents corresponding to the two states. Comparative analysis based on experimentally calibrated models shows significant improvement of access energy-delay. For example, at a fixed write time of 550ps, the write voltage and energy are 58.5% and 67.7% lower than FERAM, respectively. These benefits are achieved with 2.4 times the area overhead. Further exploration of the proposed FEFET memory in energy harvesting nonvolatile processors shows an average improvement of 27% in forward progress over FERAM.

References

  1. Y. Liu, et al. Ambient energy harvesting nonvolatile processors: from circuit to system. In DAC, ACM, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. C. W. Smullen, et al. Relaxing non-volatility for fast and energyefficient STT-RAM caches. In HPCA, pages 50--61, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. X. Li, et al. RF-powered systems using steep-slope devices, In NEWCAS, pages 73--76, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  4. K. Ma, et al. Architecture exploration for ambient energy harvesting nonvolatile processors. In HPCA, pages 526--537, 2015.Google ScholarGoogle ScholarCross RefCross Ref
  5. A. Chen. Emerging nonvolatile memory (NVM) technologies. In ESSDERC, pages 109--113, 2015.Google ScholarGoogle ScholarCross RefCross Ref
  6. Y. Wang, et al. A 3us Wake-up Time Nonvolatile Processor Based on Ferroelectric Flip-Flops. In ESSCIRC, 2012.Google ScholarGoogle ScholarCross RefCross Ref
  7. K. L. Wang, et al. 2013. Low-power nonvolatile spintronic memory: STT-RAM and beyond. Journal of Physics D: Applied Physics, 46(7), 074003.Google ScholarGoogle ScholarCross RefCross Ref
  8. A. Sheikholeslami and P. G. Gulak. A survey of circuit innovations in ferroelectric random-access memories. Proceedings of the IEEE, 88(5): 667--689, 2000.Google ScholarGoogle ScholarCross RefCross Ref
  9. S. Das and J. Appenzeller. FETRAM. An organic ferroelectric material based novel random access memory cell. Nano letters 11(9): 4003--4007, 2011.Google ScholarGoogle Scholar
  10. T. Hatanaka, et al. Ferroelectric (Fe)-NAND flash memory with batch write algorithm and smart data store to the nonvolatile page buffer for data center application high-speed and highly reliable enterprise solidstate drives. JSSC, 45(10): 2156--2164, 2010.Google ScholarGoogle Scholar
  11. A. I. Khan, et al. Ferroelectric negative capacitance MOSFET: Capacitance tuning & antiferroelectric operation. In IEDM, pages 11.3.1--11.3.4, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  12. S. Salahuddin and S. Datta. Use of negative capacitance to provide voltage amplification for low power nanoscale devices. Nano letters, 8(2): 405--410, 2008.Google ScholarGoogle Scholar
  13. K. Karda, et al. An anti-ferroelectric gated Landau transistor to achieve sub-60 mV/dec switching at low voltage and high speed. Applied Physics Letters, 106(16), 163501, 2015.Google ScholarGoogle ScholarCross RefCross Ref
  14. http://ptm.asu.edu/Google ScholarGoogle Scholar
  15. Y. Kim, S. H. Choday, et al. DSH-MRAM: Differential spin Hall MRAM for on-chip memories. In IEEE Electron Device Letters, 34(10), pages 1259--1261, 2013.Google ScholarGoogle Scholar
  16. C. W. Yeung, et al, Low power negative capacitance FETs for future quantum-well body technology, In VLSI-TSA, pages 1--2, 2013.Google ScholarGoogle Scholar
  17. M. Qazi, et al. A low-voltage 1 Mb FRAM in 0.13 m CMOS featuring time-to-digital sensing for expanded operating margin. Solid-State Circuits, IEEE Journal of 47(1), pages 141--150, 2012.Google ScholarGoogle Scholar
  18. FRAM Guide book, Fujitsu Semiconductor manual, 2005Google ScholarGoogle Scholar
  19. M. F. Chang, et al. Challenges and Circuit Techniques for Energy-Efficient On-Chip Nonvolatile Memory Using Memristive Devices. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 5(2), pages 183--193, 2015.Google ScholarGoogle ScholarCross RefCross Ref
  20. S. S. Sheu and M. F. Chang. A 4Mb embedded SLC Resistive-RAM macro with 7.2ns read-write random access time and 160ns MLCaccess capability. In ISSCC, pages 200--201, Feb 2011Google ScholarGoogle Scholar
  21. M. F. Chang, et al. Area-Efficient Embedded Resistive RAM (ReRAM) Macros Using Logic-Process Vertical-Parasitic-BJT (VPBJT) Switches and Read-Disturb-Free Temperature-Aware Current-Mode Read Scheme. IEEE JSSC, 49(4), pages 908--916, 2014.Google ScholarGoogle Scholar
  22. M. F. Chang, et al. A 0.5V 4Mb Logic-Process Compatible Embedded Resistive RAM (ReRAM) in 65nm CMOS Using Low Voltage Current-Mode Sensing Scheme with 45ns Random Read Time. In ISSCC), pages 434--435, 2012.Google ScholarGoogle ScholarCross RefCross Ref
  23. M. F. Chang, et al, An offset tolerant current-sampling-based sense amplifier for sub-100nA-cell-current nonvolatile memory. IEEE ISSCC, pages. 206--207, Feb 2011.Google ScholarGoogle ScholarCross RefCross Ref
  24. M. R. Guthaus, et al. Mibench: A free, commercially representative embedded benchmark suite. In Workload Characterization, IEEE International Workshop on, pages 3--14, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  1. Nonvolatile memory design based on ferroelectric FETs

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Other conferences
      DAC '16: Proceedings of the 53rd Annual Design Automation Conference
      June 2016
      1048 pages
      ISBN:9781450342360
      DOI:10.1145/2897937

      Copyright © 2016 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 5 June 2016

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader