ABSTRACT
Due to aging, circuit reliability has become extraordinary challenging. Reliability-aware circuit design flows do virtually not exist and even research is in its infancy. In this paper, we propose to bring aging awareness to EDA tool flows based on so-called degradation-aware cell libraries. These libraries include detailed delay information of gates/cells under the impact that aging has on both threshold voltage (Vth) and carrier mobility (μ) of transistors. This is unlike state of the art which considers Vth only. We show how ignoring μ degradation leads to underestimating guard-bands by 19% on average. Our investigation revealed that the impact of aging is strongly dependent on the operating conditions of gates (i.e. input signal slew and output load capacitance), and not solely on the duty cycle of transistors. Neglecting this fact results in employing insufficient guard-bands and thus not sustaining reliability during lifetime.
We demonstrate that degradation-aware libraries and tool flows are indispensable for not only accurately estimating guardbands, but also efficiently containing them. By considering aging degradations during logic synthesis, significantly more resilient circuits can be obtained. We further quantify the impact of aging on the degradation of image processing circuits. This goes far beyond investigating aging with respect to path delays solely. We show that in a standard design without any guardbanding, aging leads to unacceptable image quality after just one year. By contrast, if the synthesis tool is provided with the degradation-aware cell library, high image quality is sustained for 10 years (even under worst-case aging and without a guard-band). Hence, using our approach, aging can be effectively suppressed.
- "Degradation-Aware Cell Libraries, V1.0," http://ces.itec.kit.edu/dependable-hardware.phpGoogle Scholar
- V. Santen, H. Amrouch, J. Martinez, M. Nafria, J. Henkel, "Designing Guardbands for Instantaneous Aging Effects," in DAC, 2016 (accepted for publication). Google ScholarDigital Library
- J. Keane and C. H. Kim, "Transistor aging," IEEE Spectrum, 2011.Google Scholar
- E. Gunadi, A. A. Sinkar, N. S. Kim, and M. H. Lipasti, "Combating aging with the colt duty cycle equalizer," in MICRO, 2010, pp. 103--114. Google ScholarDigital Library
- "BSIM Compact MOSFET Models for SPICE Simulation," http://www-device.eecs.berkeley.edu/bsim/?page=BSIM4Google Scholar
- K. Joshi, S. Mukhopadhyay, N. Goel, and S. Mahapatra, "A consistent physical framework for N and P BTI in HKMG MOSFETs," in IRPS, 2012, pp. 5A.3.1--5A.3.10.Google Scholar
- J. B. Velamala, V. Ravi, and Y. Cao, "Failure diagnosis of asymmetric aging under NBTI," in ICCAD, 2011, pp. 428--433. Google ScholarDigital Library
- "Nangate, Open Cell Library," http://www.nangate.com/Google Scholar
- D. Lorenz, G. Georgakos, and U. Schlichtmann, "Aging analysis of circuit timing considering NBTI and HCI," in IOLTS, 2009, pp. 3--8.Google Scholar
- W. Wang, S. Yang, S. Bhardwaj, R. Vattikonda, S. Vrudhula, F. Liu et al., "The impact of NBTI on the performance of combinational and sequential circuits," in DAC, 2007, pp. 364--369. Google ScholarDigital Library
- S. Kiamehr, F. Firouzi, and M. B. Tahoori. "Aging-aware timing analysis considering combined effects of NBTI and PBTI," in ISQED, 2013, pp. 53--59Google Scholar
- D. Gnad, M. Shafique, F. Kriebel, S. Rehman, D. Sun, and J. Henkel, "Hayat: Harnessing dark silicon and variability for aging deceleration and balancing," in DAC, 2015. Google ScholarDigital Library
- N. Karimi, A. K. Kanuparthi, X. Wang, O. Sinanoglu, and R. Karri, "MAGIC: Malicious aging in circuits/cores," ACM Trans. Archit. Code Optim., vol. 12, no. 1, 2015. Google ScholarDigital Library
- M. Ebrahimi, F. Oboril, S. Kiamehr, and M. B. Tahoori, "Aging-aware logic synthesis," in ICCAD, 2013, pp. 61--68. Google ScholarDigital Library
- H. Amrouch, V. M. van Santen, T. Ebi, V. Wenzel, and J. Henkel, "Towards interdependencies of aging mechanisms," in ICCAD, 2014, pp. 478--485. Google ScholarDigital Library
- A. Kerber and T. Nigam, "Challenges in the characterization and modeling of BTI induced variability in metal gate / High-k CMOS technologies," in IRPS, 2013.Google Scholar
- W. Zhao and Y. Cao, "New generation of predictive technology model for sub-45 nm early design exploration," Electron Devices, IEEE Transactions on, vol. 53, no. 11, pp. 2816--2823, Nov 2006. http://ptm.asu.edu/Google ScholarCross Ref
- http://iwls.org/iwls2005/benchmarks.htmlGoogle Scholar
- J. Henkel, L. Bauer, J. Becker, O. Bringmann, U. Brinkschulte, S. Chakraborty et al., "Design and architectures for dependable embedded systems," in CODES+ISSS, 2011, pp. 69--78. Google ScholarDigital Library
- http://trace.eas.asu.edu/yuv/index.htmlGoogle Scholar
Index Terms
- Reliability-aware design to suppress aging
Recommendations
Impact of adaptive voltage scaling on aging-aware signoff
DATE '13: Proceedings of the Conference on Design, Automation and Test in EuropeTransistor aging due to bias temperature instability (BTI) is a major reliability concern in sub-32nm technology. Aging decreases performance of digital circuits over the entire IC lifetime. To compensate for aging, designs now typically apply adaptive ...
Towards reliability-aware circuit design in nanoscale finFET technology: new-generation aging model and circuit reliability simulator
ICCAD '17: Proceedings of the 36th International Conference on Computer-Aided DesignIn this paper, an industry-level new-generation EDA solution for reliability-aware design in nanoscale FinFET technology is presented for the first time, with new compact transistor aging models and upgraded circuit reliability simulator. Our work ...
Aging-aware standard cell library design
DATE '14: Proceedings of the conference on Design, Automation & Test in EuropeTransistor aging, mostly due to Bias Temperature Instability (BTI), is one of the major unreliability sources at nanoscale technology nodes. BTI causes the circuit delay to increase and eventually leads to a decrease in the circuit lifetime. Typically, ...
Comments