skip to main content
10.1145/2966986.2967032guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
research-article

Enabling online learning in lithography hotspot detection with information-theoretic feature optimization

Authors Info & Claims
Published:07 November 2016Publication History

ABSTRACT

With the continuous shrinking of technology nodes, lithography hotspot detection and elimination in the physical verification phase is of great value. Recently machine learning and pattern matching based methods have been extensively studied to overcome runtime overhead problem of expensive full-chip lithography simulation. However, there is still much room for improvement in terms of accuracy and Overall Detection and Simulation Time (ODST). In this paper, we propose a unified machine learning based hotspot detection framework, where feature extraction and optimization is guided by an information-theoretic approach and solved by a dynamic programming model. More importantly, our framework can be naturally extended to online learning scenario, where some newly detected and verified layout patterns are integrated into the learning model. Experimental results show that the proposed batch detection model outperforms all state-of-the-art methods with 3.47% of accuracy improvement and 58.88% of ODST reduction on ICCAD-2012 contest benchmark suite. More importantly, equipped with online learning, our framework can further improve both accuracy and ODST.

References

  1. [1].Pan David Z., Yu Bei, and Gao J.-R.. Design for manufacturing with emerging nanolithography. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 32 (10):14531472, 2013.Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. [2].Nosato Hirokazu, Sakanashi Hidenori, Takahashi Eiichi, Murakawa Masahiro, Matsunawa Tetsuaki, Maeda Shimon, Tanaka Satoshi, and Mimotogi Shoji. Hotspot prevention and detection method using an image-recognition technique based on higher-order local autocorrelation. Journal of Micra/Nanotithoqrapluy, MEMS, and MOEMS (JM3), 13 (1):011007, 2014.Google ScholarGoogle Scholar
  3. [3].Kim Juhwan and Fan Minghui. Hotspot detection on Post-OPC layout using full chip simulation based verification tool: A case study with aerial image simulation. In Proceedings of SPIE, volume 5256, 2003.Google ScholarGoogle Scholar
  4. [4].Roseboom Ed, Rossman Mark, Chang Fang-Cheng, and Hurat Philippe. Automated full-chip hotspot detection and removal flow for interconnect layers of cell-based designs. In Proceedings of SPIE, volume 6521, 2007.Google ScholarGoogle Scholar
  5. [5].Xu Jingyu, Sinha Subarna, and Charles C. Chiang. Accurate detection for process-hotspots with vias and incomplete specification. In IEEEI/ACM InternationalConference on Computer-Aided Design (ICCAD), pages 839846, 2007.Google ScholarGoogle Scholar
  6. [6].Yu Yen-Ting, Chan Va-Chung, Sinha Subarna, Hui-Ru Jiang Iris, and Chiang Charles. Accurate process-hotspot detection using critical design rule extraction. In ACM/IEEE Design Automation Conference (DAC), pages 11671172, 2012.Google ScholarGoogle Scholar
  7. [7].Lin Sheng-Yuan, Chen Jing-Yi, Li Jin-Cheng, Wen Wan-Yu, and Chang Shih-Chieh. A novel fuzzy matching model for lithography hotspot detection. In ACM/IEEE Design Automation Conference (DAC), pages 68:168:6, 2013.Google ScholarGoogle Scholar
  8. [8].Wen Wan-Yu, Li Jin-Cheng, Lin Sheng-Yuan, Chen Jing-Yi, and Chang Shih-Chieh. A fuzzy-matching model with grid reduction for lithography hotspot detection. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 33 (11):16711680, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  9. [9].Drmanac Dragoljub G., Liu Frank, and Wang Li-C.. Predicting variability in nanoscale lithography processes. In ACM/IEEE Design Automation Conference (DAC), pages 545550, 2009.Google ScholarGoogle Scholar
  10. [10].Duo Ding, Andres Torres J., and David Z. Pan. High performance lithography hotspot detection with successively refined pattern identifications and machine learning. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 30 (11):16211634, 2011.Google ScholarGoogle Scholar
  11. [11].Ding Duo, Yu Bei, Ghosh Joydeep, and David Z. Pan. EPIC: Efficient prediction of IC manufacturing hotspots with a unified meta-classification formulation. In IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pages 263270, 2012.Google ScholarGoogle Scholar
  12. [12].Yu Yen-Ting, Lin Geng-He, Hui-Ru Jiang Iris, and Chiang Charles. Machine-learning-based hotspot detection using topological classification and critical feature extraction. In ACM/IEEE Design Automation Conference (DAC), pages 671676, 2013.Google ScholarGoogle Scholar
  13. [13].Matsunawa Tetsuaki, Gao Jhih-Rong, Yu Bei, and David Z. Pan. A new lithography hotspot detection framework based on AdaBoost classifier and simplified feature extraction. In Proceedings of SPIE, volume 9427, 2015.Google ScholarGoogle Scholar
  14. [14].Yu Yen-Ting, Lin Geng-He, Hui-Ru Jiang Iris, and Chiang Charles. Machine-learning-based hotspot detection using topological classification and critical feature extraction. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 34 (3):460470, 2015.Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. [15].Mack Chris. Fundamental Principles of Optical Lithography: The Science of Microfabrication. John Wiley& Sons, 2008.Google ScholarGoogle Scholar
  16. [16].Torres Andres J.. ICCAD-2012 CAD contest in fuzzy pattern matching for physical verification and benchmark suite. In IEEE/ACM InternationalConference on Computer-Aided Design (ICCAD), pages 349350, 2012.Google ScholarGoogle Scholar
  17. [17].Matsunawa Tetsuaki, Yu Bei, and David Z. Pan. Optical proximity correction with hierarchical bayes model. In Proceedings of SPIE, volume 9426, 2015.Google ScholarGoogle Scholar
  18. [18].Peng Hanchuan, Long Fuhui, and Ding Chris. Feature selection based on mutual information criteria of max-dependency, max-relevance, and min-redundancy. IEEE Transactions on Pattern Analysis and Machine Intelligence, 27 (8):12261238, 2005.Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. [19].Gu Allan and Zakhor Avideh. Optical proximity correction with linear regression. IEEE Transactions on Semiconductor Manufacturing (TSM), 21 (2):263271, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  20. [20].Friedman Jerome, Hastie Trevor, Tibshirani Robert, et al. Additive logistic regression: a statistical view of boosting (with discussion and a rejoinder by the authors). Annals of Statistics, 28 (2):337407, 2000.Google ScholarGoogle ScholarCross RefCross Ref
  21. [21].Leo Breiman, Jerome Friedman, Charles J. Stone, and Richard A. Olshen. Classification and Regression Trees. CRC press 1984.Google ScholarGoogle Scholar
  22. [22].Scholkopf Bernhard and Smola Alexander J.. Learning with Kernels: Support Vector Machines, Regularization, Optimization, and Beyond. MIT press, 2001.Google ScholarGoogle Scholar
  23. [23].Rafiq M.Y., Bugmann G., and Easterbrook D.J.. Neural network design for engineering applications. Computers & Structures, 79 (17):15411552, 2001.Google ScholarGoogle ScholarCross RefCross Ref
  24. [24].Hastie Trevor, Tibshirani Robert, Friedman Jerome, and Franklin James. The elements of statistical learning: data mining, inference and prediction. The Mathematical Intelligencer, 27 (2):8385, 2005.Google ScholarGoogle ScholarCross RefCross Ref
  25. [25].Servedio Rocco A.. Smooth boosting and learning with malicious noise. Journal of Machine Learning Research, 4: 633648, 2003.Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. [26].Oza Nikunj C.. Online bagging and boosting. In IEEE InternationalConference on Systems, Man, and Cybernetics (ICSMC), volume 3, pages 23402345, 2005.Google ScholarGoogle Scholar
  27. [27].Banerjee Shayak, Li Zhuo, and Sani R. Nassif. ICCAD-2013 CAD contest in mask optimization and benchmark suite. In IEEEI/ACM InternationalConference on Computer-Aided Design (ICCAD), pages 271274, 2013.Google ScholarGoogle Scholar

Index Terms

  1. Enabling online learning in lithography hotspot detection with information-theoretic feature optimization
        Index terms have been assigned to the content through auto-classification.

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image Guide Proceedings
          2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
          Nov 2016
          946 pages

          Copyright © 2016

          Publisher

          IEEE Press

          Publication History

          • Published: 7 November 2016

          Permissions

          Request permissions about this article.

          Request Permissions

          Qualifiers

          • research-article