skip to main content
tutorial

A Survey of Repair Analysis Algorithms for Memories

Published:12 October 2016Publication History
Skip Abstract Section

Abstract

Current rapid advancements in deep submicron technologies have enabled the implementation of very large memory devices and embedded memories. However, the memory growth increases the number of defects, reducing the yield and reliability of such devices. Faulty cells are commonly repaired by using redundant cells, which are embedded in memory arrays by adding spare rows and columns. The repair process requires an efficient redundancy analysis (RA) algorithm. Spare architectures for the repair of faulty memory include one-dimensional (1D) spare architectures, two-dimensional (2D) spare architectures, and configurable spare architectures. Of these types, 2D spare architectures, which prepare extra rows and columns for repair, are popular because of their better repairing efficiency than 1D spare architectures and easier implementation than configurable spare architectures. However, because the complexity of the RA is NP-complete, the RA algorithm should consider various factors in order to determine a repair solution. The performance depends on three factors: analysis time, repair rate, and area overhead. In this article, we survey RA algorithms for memory devices as well as built-in repair algorithms for improving these performance factors. Built-in redundancy analysis techniques for emergent three-dimensional integrated circuits are also discussed. Based on this analysis, we then discuss future research challenges for faulty-memory repair studies.

References

  1. R. Anigundi, H. Sun, J. Q. Lu, K. Rose, and T. Zhang. 2009. Architecture design exploration of three-dimensional (3D) integrated DRAM. In Proceedings of the 10th International Symposium on Quality of Electronic Design. 86--90. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. I. Bayraktaroglu, O. Caty, and Y. Wong. 2005. Highly configurable programmable built-in self test architecture for high-speed memories. In Proceedings of the IEEE VLSI Test Symposium. 21--26. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. P. Bernardi, L. M. Ciganda, E. Sanchez, and M. S. Reorda. 2013. MIHST: A hardware technique for embedded microprocessor functional on-line self-test. IEEE Trans. Comput. 63, 11, 2760--2771. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. D. K. Bhavsar. 1999. An algorithm for row-column self-repair of RAMs and its implementation in the Alpha 21264. In Proceedings of the International Test Conference. 311--318. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. B. H. Bloom. 1970. Space/time trade-offs in hash coding with allowable errors. Commun. ACM 13, 7, 422--426. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. S. Boutobza, M. Nicolaidis, K. L. Lamara, and A. Costa. 2005. Programmable memory BIST. In Proceedings of the International Test Conference. 1155--1164.Google ScholarGoogle Scholar
  7. G. Campardo, M. Scotti, S. Scommegna, S. Pollara, and A. Silvagni. 2003. An overview of flash architectural developments. Proc. IEEE, 91, 4, 523--536.Google ScholarGoogle ScholarCross RefCross Ref
  8. H. Cao, M. Liu, H. Chen, X. Zheng, C. Wang, and Z. Wang. 2012. Efficient built-in self-repair strategy for embedded SRAM with selectable redundancy. In Proceedings of the 2nd International Conference on Consumer Electronics, Communications and Networks (CECNet). 2565--2568.Google ScholarGoogle Scholar
  9. D.-M. Chang, J. F. Li, and Y. J. Huang. 2008. A built-in redundancy-analysis scheme for random access memories with two-level redundancy. J. Electron. Test.: Theor. Appl. 24, 1--3, 181--192. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. T. J. Chen, J. F. Li, and T. W. Tseng. 2012. Cost-efficient built-in redundancy analysis with optimal repair rate for RAMs. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 31, 6, 930--940. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. C. C. Chi, Y. F. Chou, D. M. Kwai, Y. Y. Hsial, C. W. Wu, Y. T. Hsing, L. M. Denq, and T. H. Lin. 2012. 3D-IC BISR for stacked memories using cross-die spares. In Proceedings of the International Symposium on VLSI Design, Automation, and Test (VLSI-DAT). 1--4.Google ScholarGoogle Scholar
  12. H. Cho, W. Kang, and S. Kang. 2010. A built-in redundancy analysis with a minimized binary search tree. ETRI J. 32, 4, 638--641.Google ScholarGoogle ScholarCross RefCross Ref
  13. H. Cho, W. Kang, and S. Kang. 2012. A fast redundancy analysis algorithm in ATE for repairing faulty memories. ETRI J. 34, 3, 478--481.Google ScholarGoogle Scholar
  14. Y. F. Chou, D. M. Kwai, and C. W. Wu. 2009. Memory repair by die stacking with through silicon vias. In Proceedings of the IEEE International Workshop on Memory Technology, Design, and Testing. 53--58. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. C. W. Chou, Y. J. Huang, and J. F. Li. 2010. Yield-enhancement techniques for 3D random access memories. In Proceedings of the International Symposium on VLSI Design Automation and Test (VLSI-DAT). 104--107.Google ScholarGoogle Scholar
  16. C. W. Chou, Y. J. Huang, and J. F. Li. 2013. A built-in self-repair scheme for 3-D RAMs with interdie redundancy. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 32, 4, 572--583. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. J. Chung, J. Park, J. A. Abraham, E. Byun, and C. J. Woo. 2010. Reducing test time and area overhead of an embedded memory array built-in repair analyzer with optimal repair rate. In Proceedings of the 28th VLSI Test Symposium (VTS). 33--38.Google ScholarGoogle Scholar
  18. J. Chung, J. Park, and J. A. Abraham. 2013. A built-in repair analyzer with optimal repair rate for word-oriented memories. IEEE Trans. VLSI Syst. 21, 2, 281--291. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. W. R. Davis, J. Wilson, S. Mick, J. Xu, H. Hua, C. Mineo, A. M. Sule, M. Steer, and P. D. Franzon. 2005. Demystifying 3D ICs: the pros and cons of going vertical. IEEE Des. Test Comput. 22, 6, 498--510. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. J. R. Day. 1985. A fault-driven, comprehensive redundancy algorithm. IEEE Design Test Comput. 2, 3, 35--44. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. X. Du, S. M. Reddy, W. T. Cheng, J. Rayhawk, and N. Mukherjee. 2004. At-speed built-in self-repair analyzer for embedded word-oriented memories. In Proceedings of the 17th International Conference on VLSI Design. 895--900. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. R. W. Haddad, A. T. Dahbura, and A. B. Sharma. 1991. Increased throughput for the testing and repair of RAMs with redundancy. IEEE Trans. Comput. 40, 2, 154--166. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. V. G. Hemmady and S. M. Reddy. 1989. On the repair of redundant RAMs. In Proceedings of the 26th Conference on Design Automation. 710--713. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. C. S. Hou and J. F. Li. 2015. High repair-efficiency BISR scheme for RAMs by reusing bitmap for bit redundancy. IEEE Trans. VLSI Syst. 23, 9, 1720--1728.Google ScholarGoogle ScholarCross RefCross Ref
  25. Y. Y. Hsiao, C. H. Chen, and C. W. Wu. 2006. A built-in self-repair scheme for NOR-type flash memory. In Proceedings of the 24th IEEE VLSI Test Symposium. 114--119. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Y. Y. Hsiao, C. H. Chen, and C. W. Wu. 2010. Built-in self-repair scheme for flash memories. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 29, 8, 1243--1256. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. C. H. Hsu and S. K. Lu. 2002. Fault-tolerance design of memory systems based on DBL structures. In Proceedings of the 2002 Asia-Pacific Conference on Circuits and Systems 1, 221--224.Google ScholarGoogle Scholar
  28. W. K. Huang, Y. N. Shen, and F. Lombardi. 1990. New approaches for the repairs of memories with redundancy by row/column deletion for yield enhancement. IEEE Trans. Comput.-Aid. Des. 9, 3, 323--328. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. C. T. Huang, J. R. Huang, C. F. Wu, C. W. Wu, and T. Y. Chang. 1999. A programmable core BIST for embedded DRAM. IEEE Des. Test Comput. 16, 1, 59--70.Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. R. F. Huang, J. F. Li, J. C. Yeh, and C. W. Wu. 2002. A simulator for evaluating redundancy analysis algorithms of repairable embedded memories. In Proceedings of the 2002 IEEE International Workshop on Memory Technology, Design and Testing (MTDT). 68--73. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. C. T. Huang, C. F. Wu, J. F. Li, and C. W. Wu. 2003. Built-in redundancy analysis for memory yield improvement. IEEE Trans. Reliabil. 52, 4, 386--399.Google ScholarGoogle ScholarCross RefCross Ref
  32. R. F. Huang, C. L. Su, C. W. Wu, S. T. Lin, K. L. Luo, and Y. J. Chang. 2004. Fail pattern identification for memory built-in self-repair. In Proceedings of the 13th Asian Test Symposium. 366--371. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. C. D. Huang, T. W. Tseng, and J. F. Li. 2006. An infrastructure IP for repairing multiple RAMs in SOCs. In Proceedings of the International Symposium on VLSI Design, Automation and Test (VLSI-DAT). 1--4.Google ScholarGoogle Scholar
  34. Y. J. Huang, D. M. Chang, and J. F. Li. 2006. A built-in redundancy-analysis scheme for self-repairable RAMs with two-level redundancy. In Proceedings of the 21st IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems. 362--370. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. C. D. Huang, J. F. Li, and T. W. Tseng. 2007. ProTaR: An infrastructure IP for repairing RAMs in System-on-Chips. IEEE Trans.VLSI Syst. 15, 10, 1135--1143. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. R. F. Huang, C. H. Chen, and C. W. Wu. 2007. Economic aspects of memory built-in self-repair. IEEE Des. Test Comput. 24, 2, 164--172. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. A. A. Hwang, I. A. Stefanovici, and B. Schroeder. 2012. Cosmic rays don't strike twice: Understanding the nature of DRAM errors and the implications for system design. In Proceeding of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS). 111--122. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. International Technology Roadmap for Semiconductors (ITRS). 2011. Semiconductor Industry Association, San Jose, CA. Retrieved from http://www.itrs.net/Links/2011ITRS/Home2011.htm.Google ScholarGoogle Scholar
  39. W. Jeong, I. Kang, K. J. Jin, and S. Kang. 2009. A fast built-in redundancy analysis for memories with optimal repair rate using a line-based search tree. IEEE Trans. VLSI Syst. 17, 12, 1665--1678. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. W. Jeong, J. Lee, T. Han, K. Lee, and S. Kang. 2010. An advanced BIRA for memories with an optimal repair rate and fast analysis speed by using a branch analyzer. IEEE Trans. Comput-Aid Des. Integr. Circ. Syst. 29, 12, 2014--2026. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. L. Jiang, R. Ye, and Q. Xu. 2010. Yield enhancement for 3D-stacked memory by redundancy sharing across dies. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 230--234. Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. I. Kang, W. Jeong, and S. Kang. 2008. High-efficient memory BISR with two serial RA stages using spare memories. Electron. Lett. 44, 8, 515--517.Google ScholarGoogle ScholarCross RefCross Ref
  43. U. Kang, H. J. Chung, S. Heo, D. H. Park, H. Lee, J. H. Kim, S. H. Ahn, S. H. Cha, J. Ahn, D. Kwon, J. W. Lee, H. S. Joo, W. S. Kim, D. H. Jang, N. S. Kim, J. H. Choi, T. G. Chung, J. H. Yoo, J. S. Choi, C. Kim, and Y. H. Jun. 2010. 8Gb 3-D DDR3 DRAM using through-silicon-via technology. IEEE J. Solid-State Circ. 45, 1, 111--119.Google ScholarGoogle ScholarCross RefCross Ref
  44. W. Kang, C. Lee, K. Cho, and S. Kang. 2013. A die selection and matching method with two stages for yield enhancement of 3-D memories. In Proceedings of the 22nd Asian Test Symposium. 301--306. Google ScholarGoogle ScholarDigital LibraryDigital Library
  45. W. Kang, H. Cho, J. Lee, and S. Kang. 2014. A BIRA for memories with an optimal repair ate using spare memories for area reduction. IEEE Trans. VLSI Syst. 22, 11, 2336--2349.Google ScholarGoogle ScholarCross RefCross Ref
  46. W. Kang, C. Lee, H. Lim, and S. Kang. 2015. A 3 dimensional built-in self-repair scheme for yield improvement of 3 dimensional memories. IEEE Trans. Reliabil. 64, 2 (2015), 586--595.Google ScholarGoogle ScholarCross RefCross Ref
  47. A. Karandikar and K. K. Parhi. 1998. Low power SRAM design using hierarchical divided bit-line approach. In Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors. 82--88. Google ScholarGoogle ScholarDigital LibraryDigital Library
  48. T. Kawagoe, J. Ohtani, M. Niiro, T. Ooishi, M. Hamada, and H. Hidaka. 2000. A built-in self-repair analyzer (CRESTA) for embedded DRAMs. In Proceedings of the International Test Conference. 567--574. Google ScholarGoogle ScholarDigital LibraryDigital Library
  49. S. Khan, D. Lee, Y. Kim, A. R. Alameldeen, C. Wilkerson, and O. Mutlu. 2014. The efficacy of error mitigation techniques for DRAM retention failures: A comparative experimental study. In Proceedings of the 2014 ACM International Conference on Measurement and Modeling of Computer Systems. 519--532. Google ScholarGoogle ScholarDigital LibraryDigital Library
  50. I. Kim, Y. Zorian, G. Komoriya, H. Pham, F. P. Higgins, and J. L. Lewandowski. 1998. Built in self repair for embedded high density SRAM. In Proceedings of the International Test Conference. 1112--1119. Google ScholarGoogle ScholarDigital LibraryDigital Library
  51. Y. Kim, R. Daly, J. Kim, C. Fallin, J. H. Lee, D. Lee, C. Wilkerson, and O. Mutlu. 2014. Flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors. In Proceeding of the 41st Annual International Symposium on Computer Architecture (ISCA). 361--372. Google ScholarGoogle ScholarDigital LibraryDigital Library
  52. J. U. Knickerbocker, C. S. Patel, P. S. Andry, C. K. Tsang, P. Buchwalter, E. J. Sprogis, H. Gan, R. R. Horton, R. J. Polastre, S. L. Wright, and J. M. Cotte. 2006. 3-D silicon integration and silicon packaging technology using silicon through-vias. IEEE J. Solid-State Circ. 41, 8, 1718--1725.Google ScholarGoogle ScholarCross RefCross Ref
  53. S. Y. Kuo and K. F. Fuchs. 1987. Efficient spare allocation for reconfigurable arrays. IEEE Design and Test of Computers. 4, 1, 24--31. Google ScholarGoogle ScholarDigital LibraryDigital Library
  54. H. H. S. Lee and K. Chakrabarty. 2009. Test challenges for 3D integrated circuits. IEEE Des. Test Comput. 26, 5, 26--35. Google ScholarGoogle ScholarDigital LibraryDigital Library
  55. M. J. Lee and K. W. Park. 2010. A mechanism for dependence of refresh time on data pattern in DRAM. IEEE Electron. Dev. Lett. 31, 2, 168--170.Google ScholarGoogle ScholarCross RefCross Ref
  56. J. Lee, K. Park, and S. Kang. 2011. A die-selection method using search-space conditions for yield enhancement in 3D memory. ETRI J. 33, 6, 904--913.Google ScholarGoogle ScholarCross RefCross Ref
  57. M. Lee, L. M. Denq, and C. W. Wu. 2011. A memory built-in self-repair scheme based on configurable spares. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 30, 6, 919--929. Google ScholarGoogle ScholarDigital LibraryDigital Library
  58. C. Lee, W. Kang, D. Cho, and S. Kang. 2014. A new fuse architecture and a new post-share redundancy scheme for yield enhancement in 3-D-stacked memories. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 33, 5 (2014), 786--797.Google ScholarGoogle ScholarCross RefCross Ref
  59. J. F. Li, J. C. Yeh, R. F. Huang, and C. W. Wu. 2003. A built-in self-repair scheme for semiconductor memories with 2D redundancy. In Proceedings of the International Test Conference. 393--402.Google ScholarGoogle Scholar
  60. J. F. Li, J. C. Yeh, R. F. Huang, and C. W. Wu. 2005. A built-in self-repair design for RAMs with 2D redundancy. IEEE Trans. VLSI Syst. 13, 6, 742--745. Google ScholarGoogle ScholarDigital LibraryDigital Library
  61. Y. Li, O. Mutlu, D. S. Gardner, and S. Mitra. 2010. Concurrent autonomous self-test for uncore components in system-on-chips. In Proceedings of the 28th VLSI Test Symposium (VTS). 232--237.Google ScholarGoogle Scholar
  62. Y. Li, E. Cheng, S. Makar, and S. Mitra. 2013. Self-repair of uncore components in robust system-on-chips: An OpenSPARC T2 case study. In Proceeding of 2013 IEEE International Test Conference (ITC). 1--10.Google ScholarGoogle Scholar
  63. H. Y. Lin, F. M. Yeh, and S. Y. Kuo. 2006. An efficient algorithm for spare allocation problems. IEEE Trans. Reliabil. 55, 2, 369--378.Google ScholarGoogle ScholarCross RefCross Ref
  64. G. Q. Lin, Z. Y. Wang, and S. K. Lu. 2009. Built-in self-repair techniques for content addressable memories. In Proceedings of the International Symposium on VLSI Design, Automation and Test (VLSI-DAT). 267--270.Google ScholarGoogle Scholar
  65. C. H. Lin, D. Y. Shen, Y. J. Chen, C. L. Yang, and M. Wang. 2012. SECRET: Selective error correction for refresh energy reduction in DRAMs. In Proceedings of 2012 IEEE 30th International Conference on Computer Design (ICCD). 67--74. Google ScholarGoogle ScholarDigital LibraryDigital Library
  66. B. Y. Lin, M. Lee, and C. W. Wu. 2013. Exploration methodology for 3D memory redundancy architectures under redundancy constraints. In Proceedings of the 22nd Asian Test Symposium. 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  67. B. Y. Lin, W. T. Chiang, C. W. Wu, M. Lee, H. C. Lin, C. N. Peng, and M. J. Wang. 2014. Redundancy architectures for channel-based 3D DRAM yield improvement. In Proceedings of the International Test Conference. 1--7.Google ScholarGoogle Scholar
  68. J. Liu, B. Jaiyen, R. Veras, and O. Mutlu. 2012. RAIDR: Retention-aware intelligent DRAM refresh. In Proceedings of the 39th Annual International Symposium on Computer Architecture (ISCA). 1--12. Google ScholarGoogle ScholarDigital LibraryDigital Library
  69. J. Liu, B. Jaiyen, Y. Kim, C. Wilkerson, and O. Mutlu. 2013. An experimental study of data retention behavior in modern DRAM devices: Implications for retention time profiling mechanisms. In Proceeding of the 40th Annual International Symposium on Computer Architecture (ISCA). 60--71. Google ScholarGoogle ScholarDigital LibraryDigital Library
  70. C. P. Low and H. W. Leong. 1996. A new class of new class of efficient algorithms for reconfiguration of memory arrays. IEEE Trans. Comput. 45, 5, 614--618. Google ScholarGoogle ScholarDigital LibraryDigital Library
  71. S. K. Lu and S. C. Huang. 2004. Built-in self-test and repair (BISTR) techniques for embedded RAMs. In Proceedings of the Records of the 2004 International Workshop on Memory Technology, Design and Testing. 60--64. Google ScholarGoogle ScholarDigital LibraryDigital Library
  72. S. K. Lu, Y. C. Tsai, and S. C. Huang. 2005. A BIRA algorithm for embedded memories with 2D redundancy. In Proceedings of the 2005 IEEE International Workshop on Memory Technology, Design, and Testing. 121--126. Google ScholarGoogle ScholarDigital LibraryDigital Library
  73. S. K. Lu, Y. C. Tsai, C. H. Hsu, K. H. Wang, and C. W. Wu. 2006. Efficient built-in redundancy analysis for embedded memories with 2D redundancy. IEEE Trans. VLSI Syst. 14, 1, 34--42. Google ScholarGoogle ScholarDigital LibraryDigital Library
  74. S. K. Lu, C. L. Yang, and H. W. Lin. 2006. Efficient BISR techniques for word-oriented embedded memories with hierarchical redundancy. In Proceedings of the IEEE /ACIS International conference on Computer and Information Science and 1st IEEE/ACIS International Workshop on Component-Based Software Engineering, Software Architecture and Reuse. 355--360. Google ScholarGoogle ScholarDigital LibraryDigital Library
  75. S. K. Lu, C. L. Yang, Y. C. Hsiao and C. W. Wu. 2009. Efficient BISR techniques for embedded memories considering cluster faults. IEEE Trans. VLSI Syst. 18, 2, 184--193. Google ScholarGoogle ScholarDigital LibraryDigital Library
  76. S. K. Lu, Z. Y. Wang, Y. M. Tsai, and J. L. Chen. 2012. Efficient built-in self-repair techniques for multiple repairable embedded RAMs. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 31, 4, 620--629. Google ScholarGoogle ScholarDigital LibraryDigital Library
  77. S. K. Lu, T. W. Chang, and H. Y. Hsu. 2012. Yield enhancement techniques for 3-dimensional random access memories. Microelectron. Reliabil. 52, 6, 1065--1070.Google ScholarGoogle ScholarCross RefCross Ref
  78. J. A. Mandelman, R. H. Dennard, G. B. Bronner, J. K. DeBrosse, R. Divakaruni, Y. Li, and C. J. Radens. 2002. Challenges and future directions for the scaling of dynamic random-access memory (DRAM). IBM J. Res. Dev. 46, 2.3, 187--212. Google ScholarGoogle ScholarDigital LibraryDigital Library
  79. S. Matarress and L. Fasoli. 2001. A method to calculate redundancy coverage for FLASH memories. In Proceedings of the IEEE International Workshop on Memory Technology, Design and Testing, 41--44. Google ScholarGoogle ScholarDigital LibraryDigital Library
  80. R. McConnell and R. Rajsuman. 2001. Test and repair of large embedded DRAMs. I. In Proceeding of the International Test Conference. 163--172. Google ScholarGoogle ScholarDigital LibraryDigital Library
  81. J. Meza, Q. Wu, S. Kumar, and O. Mutlu. 2015. Revisiting memory errors in large-scale production data centers: Analysis and modeling of new trends from the field. In Proceeding of the 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN). 415--426. Google ScholarGoogle ScholarDigital LibraryDigital Library
  82. M. Mihara, T. Nakayama, M. Ohkawa, S. Kawai, Y. Miyawaki, Y. Terada, M. Ohi, H. Onoda, M. Hatanaka, H. Miyoshi, and T. Yoshihara. 1994. Row-redundancy scheme for high-density flash memory. In Proceedings of the IEEE International Solid-State Circuits Conference, 1994. Digest of Technical Papers 150--151.Google ScholarGoogle ScholarCross RefCross Ref
  83. B. Mohammad. 2015. Embedded memory interface logic and interconnect testing. IEEE Trans. VLSI Syst. 23, 9, 1946--1950.Google ScholarGoogle ScholarCross RefCross Ref
  84. Y. Mori, K. Ohyu, K. Okonogi, and R. I. Yamada. 2005. The origin of variable retention time in DRAM. In Proceeding of the 2005 IEDM Technical Digest IEEE International Electron Devices Meeting. 1034--1037.Google ScholarGoogle Scholar
  85. J. Munkres. 1957. Algorithms for the assignment and transportation problems. J. Soc. Industr. Appl. Math. 5, 1, 32--38.Google ScholarGoogle ScholarCross RefCross Ref
  86. O. Mutlu. 2013. Memory scaling: A systems architecture perspective. In Proceedings of the 5th IEEE International Memory Workshop. 21--25.Google ScholarGoogle ScholarCross RefCross Ref
  87. P. J. Nair, D. Kim, and M. K. Qureshi. 2013. ArchShield: Architectural framework for assisting DRAM scaling by tolerating high error rates. In Proceeding of the 40th Annual International Symposium on Computer Architecture (ISCA). 72--83. Google ScholarGoogle ScholarDigital LibraryDigital Library
  88. E. Nelson, J. Dreibelbis, and R. McConnell. 2001. Test and repair of large embedded DRAMs. 2. In Proceeding of the International Test Conference. 173--181. Google ScholarGoogle ScholarDigital LibraryDigital Library
  89. B. Noia and K. Chakrabarty. 2011. Testing and design-for-testability techniques for 3D integrated circuits. In Proceedings of the 20th Asian Test Symposium. 474--479. Google ScholarGoogle ScholarDigital LibraryDigital Library
  90. P. Ohler, S. Hellebrand, and H. Wunderlich. 2007. An integrated built-in test and repair approach for memories with 2D redundancy. In Proceedings of the 12th IEEE European Test Symposium. 91--96. Google ScholarGoogle ScholarDigital LibraryDigital Library
  91. M. Ottavi, S. Luca, X. Wang, Y. B. Kim, E. J. Meyer, and F. Lombardi. 2004. Yield evaluation methods of SRAM arrays: a comparative study. In Proceedings of the 21st IEEE Instrumentation and Measurement Technology Conference. 2, 1525--1530.Google ScholarGoogle Scholar
  92. K. Pagiamtzis and A. Sheikholeslami. 2006. Content-addressable memory (CAM) circuits and architectures: A tutorial and survey. IEEE J. Solid-State Circ. 41, 3, 712--727.Google ScholarGoogle ScholarCross RefCross Ref
  93. V. F. Pavlidis and E. G. Friedman. 2009. Interconnect-based design methodologies for three-dimensional integrated circuits. Proc. IEEE 97, 1, 123--140.Google ScholarGoogle ScholarCross RefCross Ref
  94. M. K. Qureshi, D. H. Kim, S. Khan, P. J. Nair, and O. Mutlu. 2015. AVATAR: A variable-retention-time (VRT) aware refresh for DRAM systems. In Proceeding of 2015 45th Annual IEEE /IFIP International Conference on Dependable Systems and Networks (DSN). 427--437. Google ScholarGoogle ScholarDigital LibraryDigital Library
  95. S. Reda, S. Gregory, and S. Larry. 2009. Maximizing the functional yield of wafer-to-wafer 3-D integration. IEEE Trans. VLSI Syst. 17, 9, 1357--1362. Google ScholarGoogle ScholarDigital LibraryDigital Library
  96. S. Schechter, G. Loh, K. Strauss, and D. Burger. 2010. Use ECP, not ECC, for hard failures in resistive memories. In Proceeding of the 37th Annual International Symposium on Computer Architecture (ISCA). 141--152. Google ScholarGoogle ScholarDigital LibraryDigital Library
  97. V. Schober, S. Paul, and O. Picot. 2001. Memory built-in self-repair using redundant words. In Proceedings of the International Test Conference. 995--1001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  98. B. Schroeder, E. Pinheiro, and W. D. Weber. 2009. DRAM errors in the wild: A large-scale field study. In Proceedings of the 11th Joint International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS). 193--204. Google ScholarGoogle ScholarDigital LibraryDigital Library
  99. B. M. Shekar, K. R. Sumanth, and V. V. S. Sateesh. 2011. Built-in self-repair for multiple RAMs with different redundancies in a SOC. Int. J. Comput. Appl. 24, 8, 26--29.Google ScholarGoogle Scholar
  100. W. Shi and W. K. Fuchs. 1992. Probabilistic analysis and algorithms for reconfiguration of memory arrays. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 11, 9, 1153--1160. Google ScholarGoogle ScholarDigital LibraryDigital Library
  101. S. Shoukourian, V. Vardanian, and Y. Zorian. 2001. An approach for evaluation of redundancy algorithms. In Proceedings of the IEEE International Workshop on Memory Technology, Design and Testing. 51--55. Google ScholarGoogle ScholarDigital LibraryDigital Library
  102. A. Silvagni, G. Fusillo, R. Ravasio, M. Picca, and S. Zanardi. 2003. An overview of logic architectures inside flash memory devices. Proc. IEEE 91, 4, 569--580.Google ScholarGoogle ScholarCross RefCross Ref
  103. Y. H. Son, S. Lee, O. Seongil, S. Kwon, N. S. Kim, and J. H. Ahn. 2015. CiDRA: A cache-inspired DRAM resilience architecture. In Proceeding of 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA). 502--513.Google ScholarGoogle Scholar
  104. V. Sridharan, N. DeBardeleben, S. Blanchard, K. B. Ferreira, J. Stearley, J. Shalf, and S. Gurumurthi. 2015. Memroy errors in modern systems: The good, the bad, and the ugly. In Proceeding of the 20th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS). 297--310. Google ScholarGoogle ScholarDigital LibraryDigital Library
  105. C. H. Stapper and R. J. Rosner. 1995. Integrated circuits yield management and yield analysis: Development and implementation. IEEE Trans. Semicond. Manuf. 8, 2, 95--102.Google ScholarGoogle ScholarCross RefCross Ref
  106. M. Taouil and S. Hamdioui. 2011. Layer redundancy based yield improvement for 3D wafer-to-wafer stacked memories. In Proceedings of the 16th IEEE European Test Symposium. 45--50. Google ScholarGoogle ScholarDigital LibraryDigital Library
  107. M. Taouil and S. Hamdioui. 2012. Yield improvement for 3D wafer-to-wafer stacked memories. J. Electron. Test. 28, 4, 523--534. Google ScholarGoogle ScholarDigital LibraryDigital Library
  108. M. Tarr, D. Boundreau, and R. Murphy. 1984. Defect analysis system speeds test and repair of redundant memories. Electronics 57, 1, 175--179.Google ScholarGoogle Scholar
  109. T. W. Tseng, J. F. Li, C. C. Hsu, A. Pao, K. Chiu, and E. Chen. 2006. A reconfigurable built-in self-repair scheme for multiple repairable RAMs in SOCs. In Proceedings of the International Test Conference. 1--9.Google ScholarGoogle Scholar
  110. T. W. Tseng, J. F. Li, and D. M. Chang. 2006. A built-in redundancy-analysis scheme for RAMs with 2D redundancy using 1D local bitmap. In Proceedings of the Design, Automation and Test in Europe. 53--58. Google ScholarGoogle ScholarDigital LibraryDigital Library
  111. T. W. Tseng and J. F. Li. 2008. A shared parallel built-in self-repair scheme for random access memories in SOCs. In Proceedings of the International Test Conference. 1--9.Google ScholarGoogle Scholar
  112. T. W. Tseng, J. F. Li, and C. S. Hou. 2010. A built-in method to repair SoC RAMs in parallel. IEEE Des. Test Comput. 27, 6, 46--57. Google ScholarGoogle ScholarDigital LibraryDigital Library
  113. T. W. Tseng, J. F. Li, and C. C. Hsu. 2010. ReBISR: A reconfigurable built-in self-repair scheme for random access memories in SOCs. IEEE Trans. VLSI Syst. 18, 6, 921--932. Google ScholarGoogle ScholarDigital LibraryDigital Library
  114. T. W. Tseng and J. F. Li. 2011. A low-cost built-in redundancy-analysis scheme for word-oriented RAMs with 2D redundancy. IEEE Trans. VLSI Syst. 19, 11, 1983--1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  115. C. Weis, M. Jung, P. Ehses, C. Santos, P. Vivet, S. Goossens, M. Koedam, and N. Wehn. 2015. Retention time measurements and modeling of bit error rates of WIDE I/O DRAM in MPSoCs. In Proceeding of 2015 Design, Automation 8 Test in Europe Conference 8 Exhibition (DATE). 495--500. Google ScholarGoogle ScholarDigital LibraryDigital Library
  116. C. L. Wey and F. Lombardi. 1987. On the repair of redundant RAM's. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 6, 2, 222--231. Google ScholarGoogle ScholarDigital LibraryDigital Library
  117. C. W. Wu, S. K. Lu, and J. F. Li. 2012. On test and repair of 3D random access memory. In Proceedings of the 17th Asia and South Pacific Design Automation Conference. 744--749.Google ScholarGoogle Scholar
  118. Y. Xie. 2010. Processor architecture design using 3-D integration technology. In Proceedings of the 23rd International Conference on VLSI Design. 446--451. Google ScholarGoogle ScholarDigital LibraryDigital Library
  119. T. Yamagata, H. Sato, K. Fujita, Y. Nishimura, and K. Anami. 1996. A distributed globally replaceable redundancy scheme for sub-half-micron ULSI memories and beyond. IEEE J. Solid-State Circ. 31, 2 (1996), 195--201.Google ScholarGoogle ScholarCross RefCross Ref
  120. M. H. Yang, H. Cho, W. Jeong, and S. Kang. 2009. A novel BIRA method with high repair efficiency and small hardware overhead. ETRI J. 31, 3, 339--341.Google ScholarGoogle ScholarCross RefCross Ref
  121. M. H. Yang, H. Cho, W. Kang, and S. Kang. 2010. EOF: efficient built-in redundancy analysis methodology with optimal repair rate. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 29, 7, 1130--1135. Google ScholarGoogle ScholarDigital LibraryDigital Library
  122. R. K. Venkatesan, S. Herr, and E. Rotenberg. 2006. Retention-aware placement in DRAM (RAPID): Software methods for quasi-non-volatile DRAM. In Proceeding of the 2006 12th International Symposium on High-Performance Computer Architecture (HPCA). 155--165.Google ScholarGoogle Scholar
  123. M. Yoshimoto, K. Anami, H. Shinohara, T. Yoshihara, H. Takagi, S. Nagao, S. Kayano, and T. Nakano. 1983. A divided word-line structure in the static RAM and its application to a 64K full COMS RAM. IEEE J. Solid-State Circ. 18, 5, 479--485.Google ScholarGoogle ScholarCross RefCross Ref
  124. S. Zhang, M. Choi, N. Park, and F. Lombardi. 2007. Cost-driven optimization of coverage of combined built-in self-test/automated test equipment testing. IEEE Trans. Instrument. Meas. 56, 3, 1094--1100.Google ScholarGoogle ScholarCross RefCross Ref
  125. Y. Zorian. 2002. Embedded-memory test and repair: infrastructure IP for SoC yield. In Proceedings of the International Test Conference. 340--349. Google ScholarGoogle ScholarDigital LibraryDigital Library
  126. Y. Zorian and S. Shoukourian. 2003. Embedded-memory test and repair: infrastructure IP for SoC yield. IEEE Des. Test Comput. 20, 3, 58--66. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. A Survey of Repair Analysis Algorithms for Memories

                  Recommendations

                  Comments

                  Login options

                  Check if you have access through your login credentials or your institution to get full access on this article.

                  Sign in

                  Full Access

                  • Published in

                    cover image ACM Computing Surveys
                    ACM Computing Surveys  Volume 49, Issue 3
                    September 2017
                    658 pages
                    ISSN:0360-0300
                    EISSN:1557-7341
                    DOI:10.1145/2988524
                    • Editor:
                    • Sartaj Sahni
                    Issue’s Table of Contents

                    Copyright © 2016 ACM

                    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

                    Publisher

                    Association for Computing Machinery

                    New York, NY, United States

                    Publication History

                    • Published: 12 October 2016
                    • Revised: 1 July 2016
                    • Accepted: 1 July 2016
                    • Received: 1 September 2015
                    Published in csur Volume 49, Issue 3

                    Permissions

                    Request permissions about this article.

                    Request Permissions

                    Check for updates

                    Qualifiers

                    • tutorial
                    • Research
                    • Refereed

                  PDF Format

                  View or Download as a PDF file.

                  PDF

                  eReader

                  View online with eReader.

                  eReader