skip to main content
10.1145/309847.309882acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article
Free Access

Noise-constrained performance optimization by simultaneous gate and wire sizing based on Lagrangian relaxation

Authors Info & Claims
Published:01 June 1999Publication History
First page image

References

  1. 1.H. B. Bakoglu, Circuits, Interconnections, and Packaging for VLSI, Addison- Wesley Pub. Company Inc., 1990.Google ScholarGoogle Scholar
  2. 2.C.-P. Chen, Y.-W. Chang and D. F. Wong, "Fast Performance-Driven Optimization for Buffered Clock Trees Based on Lagrangian Relaxation," P~vc. DAC, pp. 405- 408, June 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. 3.C.-P. Chen, C. C. N. Chu and D. F. Wong, "Fast and Exact Simultaneous Gate and Wire Sizing by Lagrangian Relaxation," P~vc. ICCAD, pp. 617-624, Nov. 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. 4.D.-S. Chen and M. Sarrafzadeh, "An Exact Algorithm for Low Power Library- Specific Gate Re-Sizing," P~vc. DAC, June 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. 5.L.O. Chua, C. A. Desoer and E. S. Kuh, Linear and Nonlinear Circuits, McGraw- Hill Book Company, 1987.Google ScholarGoogle Scholar
  6. 6.A. Devgan, "Efficient Coupled Noise Estimation for On-Chip Interconnects," P~vc. ICCAD, pp. 147-151,Nov. 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. 7.W. C. Elmore, "The Transient Response of Damped Linear Networks with Particular Regard to Wide Band Amplifiers," J. Applied Physics, 19(1), 1948.Google ScholarGoogle ScholarCross RefCross Ref
  8. 8.F. S. Hillier and G. J. Lieberman, Int~vduction to Operations Research, 5th ed., McGraw-Hill Publishing, 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. 9.M. Marek-Sadowska, "Impact of Deep Sub-micron Technologies on Physical Design," Lecture notes and Private Communication, Aug. 1998.Google ScholarGoogle Scholar
  10. 10.Y. Massoud, S. Majors, T. Bustami and J. White, "Layout Techniques for Minimizing On-Chip Interconnect Self Inductance," P~vc. DAC, pp. 566-571, June 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. 11.M. Nemani and F. N. Najm, "High-Level Area and Power Estimation for VLSI Circuits," P~vc. ICCAD, pp. 114-119, Nov. 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. 12.J. Rabaey, Digital Integrated Circuits: A Design Perspective, Prentice-Hall, Inc., 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. 13.K. L. Shepard, "Design Methodologies for Noise in Digital Integrated Circuits," P1vc. DAC, pp. 94-99, June 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. 14.H.-P. Tseng, L. Scheffer, and C. Sechen, "Timing and Crosstalk Driven Area Routing," P1vc. DAC, pp. 378-381, June 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. 15.A. Vittal and M. Merek-Sadowska, "Crosstalk Reduction for VLSI," IEEE Trans. CAD, pp. 290-298, Vol. 16, No. 3, Mar. 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. 16.W. L. Winston, Operations Research: Applications and Algorithms, 3rd ed., Int Thomson Publishing, 1994.Google ScholarGoogle Scholar
  17. 17.T. Xue, E. S. Kuh and D. Wang, "Post Global Routing Crosstalk Risk Estimation and Reduction," P1vc. ICCAD, pp. 302-309, Nov. 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Noise-constrained performance optimization by simultaneous gate and wire sizing based on Lagrangian relaxation

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          DAC '99: Proceedings of the 36th annual ACM/IEEE Design Automation Conference
          June 1999
          1000 pages
          ISBN:1581131097
          DOI:10.1145/309847

          Copyright © 1999 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 1 June 1999

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • Article

          Acceptance Rates

          DAC '99 Paper Acceptance Rate154of451submissions,34%Overall Acceptance Rate1,770of5,499submissions,32%

          Upcoming Conference

          DAC '24
          61st ACM/IEEE Design Automation Conference
          June 23 - 27, 2024
          San Francisco , CA , USA

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader