skip to main content
10.1145/3173162.3177154acmconferencesArticle/Chapter ViewAbstractPublication PagesasplosConference Proceedingsconference-collections
research-article
Public Access

NEOFog: Nonvolatility-Exploiting Optimizations for Fog Computing

Authors Info & Claims
Published:19 March 2018Publication History

ABSTRACT

Nonvolatile processors have emerged as one of the promising solutions for energy harvesting scenarios, among which Wireless Sensor Networks (WSN) provide some of the most important applications. In a typical distributed sensing system, due to difference in location, energy harvester angles, power sources, etc. different nodes may have different amount of energy ready for use. While prior approaches have examined these challenges, they have not done so in the context of the features offered by nonvolatile computing approaches, which disrupt certain foundational assumptions. We propose a new set of nonvolatility-exploiting optimizations and embody them in the NEOFog system architecture. We discuss shifts in the tradeoffs in data and program distribution for nonvolatile processing-based WSNs, showing how non-volatile processing and non-volatile RF support alter the benefits of computation and communication-centric approaches. We also propose a new algorithm specific to nonvolatile sensing systems for load balancing both computation and communication demands. Collectively, the NV-aware optimizations in NEOFog increase the ability to perform in-fog processing by 4.2X and can increase this to 8X if virtualized nodes are 3X multiplexed.

References

  1. Mehmmood A Abd, Sarab F Majed Al-Rubeaai, Brajendra Kumar Singh, Kemal E Tepe, and Rachid Benlamri. 2015. Extending wireless sensor network lifetime with global energy balance. IEEE Sensors Journal Vol. 15, 9 (2015), 5053--5063.Google ScholarGoogle ScholarCross RefCross Ref
  2. Hiroyuki Akinaga and Hisashi Shima. 2010. Resistive random access memory (ReRAM) based on metal oxides. Proc. IEEE Vol. 98, 12 (2010), 2237--2251.Google ScholarGoogle ScholarCross RefCross Ref
  3. Domenico Balsamo, Alex S Weddell, Anup Das, Alberto Rodriguez Arreola, Davide Brunelli, Bashir M Al-Hashimi, Geoff V Merrett, and Luca Benini. 2016. HibernusGoogle ScholarGoogle Scholar
  4. : a self-calibrating and adaptive system for transiently-powered embedded devices. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems Vol. 35, 12 (2016), 1968--1980. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Domenico Balsamo, Alex S Weddell, Geoff V Merrett, Bashir M Al-Hashimi, Davide Brunelli, and Luca Benini. 2015. Hibernus: Sustaining computation during intermittent supply for energy-harvesting systems. IEEE Embedded Systems Letters Vol. 7, 1 (2015), 15--18.Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Paul Bogdan, Miroslav Pajic, Partha Pratim Pande, and Vijay Raghunathan. 2016. Making the Internet-of-things a Reality: From Smart Models, Sensing and Actuation to Energy-efficient Architectures. In Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES '16). ACM, New York, NY, USA, Article 25, 10 pages. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Fernando Cerda, Siheng Chen, Jacobo Bielak, James H Garrett, Piervincenzo Rizzo, and Jelena Kovacevic. 2014. Indirect structural health monitoring of a simplified laboratory-scale bridge model. Smart Structures and Systems Vol. 13, 5 (2014), 849--868.Google ScholarGoogle ScholarCross RefCross Ref
  8. I. Chaour, S. Bdiri, A. Fakhfakh, and O. Kanoun. 2016. Modified rectifier circuit for high efficiency and low power RF energy harvester 2016 13th International Multi-Conference on Systems, Signals Devices (SSD). 619--623.Google ScholarGoogle Scholar
  9. Pi-Feng Chiu, Meng-Fan Chang, Shyh-Shyuan Sheu, Ku-Feng Lin, Pei-Chia Chiang, Che-Wei Wu, Wen-Pin Lin, Chih-He Lin, Ching-Chih Hsu, Frederick T Chen, Keng-Li Su, Ming-Jer Kao, and Ming-Jinn Tsai. 2010. A low store energy, low VDDmin, nonvolatile 8T2R SRAM with 3D stacked RRAM devices for low power mobile applications. In VLSI Circuits (VLSIC), 2010 IEEE Symposium on. IEEE, 229--230.Google ScholarGoogle ScholarCross RefCross Ref
  10. Alexei Colin, Graham Harvey, Brandon Lucia, and Alanson P Sample. 2016. An energy-interference-free hardware-software debugger for intermittent energy-harvesting systems. ACM SIGPLAN Notices Vol. 51, 4 (2016), 577--589. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Alexei Colin, Graham Harvey, Alanson P Sample, and Brandon Lucia. 2017. An Energy-Aware Debugger for Intermittently Powered Systems. IEEE Micro Vol. 37, 3 (2017), 116--125.Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Alexei Colin and Brandon Lucia. 2016. Chain: tasks and channels for reliable intermittent programs Proceedings of the 2016 ACM SIGPLAN International Conference on Object-Oriented Programming, Systems, Languages, and Applications. ACM, 514--530. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Alexei Colin, Alanson P Sample, and Brandon Lucia. 2015. Energy-interference-free system and toolchain support for energy-harvesting devices. In Proceedings of the 2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems. IEEE Press, 35--36. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Brian D Collins and Randall W Jibson. 2015. Assessment of existing and potential landslide hazards resulting from the April 25, 2015 Gorkha, Nepal earthquake sequence. Technical Report. US Geological Survey.Google ScholarGoogle Scholar
  15. Yaping Deng and Yaming Hu. 2010. A load balance clustering algorithm for heterogeneous wireless sensor networks. In E-Product E-Service and E-Entertainment (ICEEE), 2010 International Conference on. IEEE, 1--4.Google ScholarGoogle ScholarCross RefCross Ref
  16. Xiangyu Dong, Naveen Muralimanohar, Norm Jouppi, Richard Kaufmann, and Yuan Xie. 2009. Leveraging 3D PCRAM technologies to reduce checkpoint overhead for future exascale systems. In High Performance Computing Networking, Storage and Analysis, Proceedings of the Conference on. IEEE, 1--12. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Charles R Farrar and Keith Worden. 2012. Structural health monitoring: a machine learning perspective. John Wiley & Sons.Google ScholarGoogle Scholar
  18. Andrew Gastineau, Tyler Johnson, and Arturo Schultz. 2009. Bridge Health Monitoring and Inspections--A Survey of Methods. (2009).Google ScholarGoogle Scholar
  19. Gaurav Gupta and Mohamed Younis. 2003. Load-balanced clustering of wireless sensor networks Communications, 2003. ICC'03. IEEE International Conference on, Vol. Vol. 3. IEEE, 1848--1852.Google ScholarGoogle Scholar
  20. Fausto Guzzetti, Alberto Carrara, Mauro Cardinali, and Paola Reichenbach. 1999. Landslide hazard evaluation: a review of current techniques and their application in a multi-scale study, Central Italy. Geomorphology Vol. 31, 1 (1999), 181--216.Google ScholarGoogle ScholarCross RefCross Ref
  21. Haoyuan Hong, Wei Chen, Chong Xu, Ahmed M Youssef, Biswajeet Pradhan, and Dieu Tien Bui. 2017. Rainfall-induced landslide susceptibility assessment at the Chongren area (China) using frequency ratio, certainty factor, and index of entropy. Geocarto International Vol. 32, 2 (2017), 139--154.Google ScholarGoogle Scholar
  22. Gao Huifang, Ma Kaisheng, and Zhang Wenchao. 2011. The real-time temperature measuring system for the jointless rail Measuring Technology and Mechatronics Automation (ICMTMA), 2011 Third International Conference on, Vol. Vol. 3. IEEE, 902--906. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. N Israr and I Awan. 2006. Multi-hop clustering algo. For load balancing in WSN. International Journal of SIMULATION Vol. 8, 1 (2006).Google ScholarGoogle Scholar
  24. Hrishikesh Jayakumar, Arnab Raha, and Vijay Raghunathan. 2014. QuickRecall: A low overhead HW/SW approach for enabling computations across power cycles in transiently powered computers. In VLSI Design 2014, 13th International Conference on Embedded System and 27th International Conference on. IEEE, 330--335. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Haowei Jiang, Po-Han Peter Wang, Li Gao, Pinar Sen, Young-Han Kim, Gabriel M Rebeiz, Drew A Hall, and Patrick P Mercier. 2017. 24.5 A 4.5 nW wake-up radio with- 69dBm sensitivity Solid-State Circuits Conference (ISSCC), 2017 IEEE International. IEEE, 416--417.Google ScholarGoogle Scholar
  26. W. k. Yu, S. Rajwade, S. E. Wang, B. Lian, G. E. Suh, and E. Kan. 2011. A non-volatile microcontroller with integrated floating-gate transistors 2011 IEEE/IFIP 41st International Conference on Dependable Systems and Networks Workshops (DSN-W). 75--80. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Alberto Rodriguez, Domenico Balsamo, Anup Das, Alex S Weddell, Davide Brunelli, Bashir Al-Hashimi, and Geoff V Merrett. 2015. Approaches to transient computing for energy harvesting systems: A quantitative evaluation. In ENSsys 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Anith Selvakumar, Meysam Zargham, and Antonio Liscidini. 2015. 13.6 A 600uW Bluetooth low-energy front-end receiver in 0.13 um CMOS technology. In Solid-State Circuits Conference-(ISSCC), 2015 IEEE International. IEEE, 1--3.Google ScholarGoogle Scholar
  29. Sophiane Senni, Lionel Torres, Gilles Sassatelli, and Abdoulaye Gamatie. 2016. Non-Volatile Processor Based on MRAM for Ultra-Low-Power IoT Devices. ACM Journal on Emerging Technologies in Computing Systems (JETC) Vol. 13, 2, Article bibinfoarticleno17 (Dec.. 2016), bibinfonumpages23 pages.1550--4832 Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. X. Sheng, C. Wang, Y. Liu, H. G. Lee, N. Chang, and H. Yang. 2014. A high-efficiency dual-channel photovoltaic power system for nonvolatile sensor nodes 2014 IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA). 1--2.Google ScholarGoogle Scholar
  31. Saman Siavoshi, Yousef S Kavian, and Hamid Sharif. 2016. Load-balanced energy efficient clustering protocol for wireless sensor networks. IET Wireless Sensor Systems Vol. 6, 3 (2016), 67--73.Google ScholarGoogle ScholarCross RefCross Ref
  32. F. Su, Y. Liu, Y. Wang, and H. Yang. 2017. A Ferroelectric Nonvolatile Processor with 46 us System-Level Wake-up Time and 14 us Sleep Time for Energy Harvesting Applications. IEEE Transactions on Circuits and Systems I: Regular Papers Vol. 64, 3 (March. 2017), 596--607.Google ScholarGoogle ScholarCross RefCross Ref
  33. Vamsi Talla, Bryce Kellogg, Benjamin Ransford, Saman Naderiparizi, Shyamnath Gollakota, and Joshua R Smith. 2015. Powering the next billion devices with Wi-Fi. arXiv preprint arXiv:1505.06815 (2015).Google ScholarGoogle Scholar
  34. TI. {n. d.}. CTPL "Compute Through Power Loss" software utility, https://e2e.ti.com/blogs_/b/msp430blog/archive/2015/05/29/what-is-compute-through-power-loss.Google ScholarGoogle Scholar
  35. Joel Van Der Woude and Matthew Hicks. 2016. Intermittent computation without hardware support or programmer intervention Proceedings of OSDI'16: 12th USENIX Symposium on Operating Systems Design and Implementation. 17. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Dipak Wajgi and Nileshsingh V Thakur. 2012. Load balancing based approach to improve lifetime of wireless sensor network. International Journal of Wireless & Mobile Networks Vol. 4, 4 (2012), 155.Google ScholarGoogle ScholarCross RefCross Ref
  37. Cong Wang, Naehyuck Chang, Younghyun Kim, Sangyoung Park, Yongpan Liu, Hyung Gyu Lee, Rong Luo, and Huazhong Yang. 2014. Storage-less and converter-less maximum power point tracking of photovoltaic cells for a nonvolatile microprocessor. In Design Automation Conference (ASP-DAC), 2014 19th Asia and South Pacific. IEEE, 379--384.Google ScholarGoogle ScholarCross RefCross Ref
  38. KL Wang, JG Alzate, and P Khalili Amiri. 2013. Low-power non-volatile spintronic memory: STT-RAM and beyond. Journal of Physics D: Applied Physics Vol. 46, 7 (2013), 074003.Google ScholarGoogle ScholarCross RefCross Ref
  39. Yiqun Wang, Yongpan Liu, Shuangchen Li, Daming Zhang, Bo Zhao, Mei-Fang Chiang, Yanxin Yan, Baiko Sai, and Huazhong Yang. 2012. A 3us wake-up time nonvolatile processor based on ferroelectric flip-flops ESSCIRC (ESSCIRC), 2012 Proceedings of the. IEEE, 149--152.Google ScholarGoogle Scholar
  40. Zhibo Wang, Fang Su, Yiqun Wang, Zewei Li, Xueqing Li, Ryuji Yoshimura, Takashi Naiki, Takashi Tsuwa, Takahiko Saito, Zhongjun Wang, Koji Taniuchi, Meng-Fan Chang, Huazhong Yang, and Yongpan Liu. 2017. A 130nm FeRAM-Based Parallel Recovery Nonvolatile SOC for Normally-OFF Operations with 3.9× Faster Running Speed and 11× Higher Energy Efficiency Using Fast Power-On Detection and Nonvolatile Radio Controlle Proc. Symp. VLSI Circuits (VLSI Circuits). C336--C337.Google ScholarGoogle Scholar
  41. Mimi Xie, Mengying Zhao, Chen Pan, Jingtong Hu, Yongpan Liu, and Chun Jason Xue. 2015. Fixing the broken time machine: Consistency-aware checkpointing for energy harvesting powered non-volatile processor. In Proceedings of the 52nd Annual Design Automation Conference. ACM, 184. Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. Yuan Xie. 2013. Emerging Memory Technologies: Design, Architecture, and Applications. Springer Science & Business Media. Google ScholarGoogle ScholarDigital LibraryDigital Library
  43. Ruqiang Yan and Robert X Gao. 2006. Hilbert--Huang transform-based vibration signal analysis for machine health monitoring. IEEE Transactions on Instrumentation and measurement Vol. 55, 6 (2006), 2320--2329.Google ScholarGoogle ScholarCross RefCross Ref
  44. Ruigen Yao and Shamim N Pakzad. 2012. Autoregressive statistical pattern recognition algorithms for damage detection in civil structures. Mechanical Systems and Signal Processing Vol. 31 (2012), 355--368.Google ScholarGoogle ScholarCross RefCross Ref
  45. Wing-kei Yu, Shantanu Rajwade, Sung-En Wang, Bob Lian, G Edward Suh, and Edwin Kan. 2011. A non-volatile microcontroller with integrated floating-gate transistors Dependable Systems and Networks Workshops (DSN-W), 2011 IEEE/IFIP 41st International Conference on. IEEE, 75--80. Google ScholarGoogle ScholarDigital LibraryDigital Library
  46. Daming Zhang, Shuangchen Li, Ang Li, Yongpan Liu, X Sharon Hu, and Huazhong Yang. 2014. Intra-task scheduling for storage-less and converter-less solar-powered nonvolatile sensor nodes. In Computer Design (ICCD), 2014 32nd IEEE International Conference on. IEEE, 348--354.Google ScholarGoogle ScholarCross RefCross Ref
  47. Daming Zhang, Yongpan Liu, Jinyang Li, Chun Jason Xue, Xueqing Li, Yu Wang, and Huazhong Yang. 2016. Solar power prediction assisted intra-task scheduling for nonvolatile sensor nodes. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems Vol. 35, 5 (2016), 724--737. Google ScholarGoogle ScholarDigital LibraryDigital Library
  48. Daming Zhang, Yongpan Liu, Xiao Sheng, Jinyang Li, Tongda Wu, Chun Jason Xue, and Huazhong Yang. 2015. Deadline-aware task scheduling for solar-powered nonvolatile sensor nodes with global energy migration. In Design Automation Conference (DAC), 2015 52nd ACM/EDAC/IEEE. IEEE, 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  49. Han Zhang, Liang Li, Xin-fang Yan, and Xiang Li. 2011. A load-balancing clustering algorithm of WSN for data gathering Artificial Intelligence, Management Science and Electronic Commerce (AIMSEC), 2011 2nd International Conference on. IEEE, 915--918.Google ScholarGoogle Scholar
  50. Mengying Zhao, Qingan Li, Mimi Xie, Yongpan Liu, Jingtong Hu, and Chun Jason Xue. 2015. Software assisted non-volatile register reduction for energy harvesting based cyber-physical system. In Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition. EDA Consortium, 567--572. Google ScholarGoogle ScholarDigital LibraryDigital Library
  51. M. Zwerg, A. Baumann, R. Kuhn, M. Arnold, R. Nerlich, M. Herzog, R. Ledwa, C. Sichert, V. Rzehak, P. Thanigai, and B. O. Eversmann. 2011. An 82 uA/MHz microcontroller with embedded FeRAM for energy-harvesting applications 2011 IEEE International Solid-State Circuits Conference. 334--336. 0193--6530Google ScholarGoogle Scholar

Index Terms

  1. NEOFog: Nonvolatility-Exploiting Optimizations for Fog Computing

              Recommendations

              Comments

              Login options

              Check if you have access through your login credentials or your institution to get full access on this article.

              Sign in
              • Published in

                cover image ACM Conferences
                ASPLOS '18: Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems
                March 2018
                827 pages
                ISBN:9781450349116
                DOI:10.1145/3173162
                • cover image ACM SIGPLAN Notices
                  ACM SIGPLAN Notices  Volume 53, Issue 2
                  ASPLOS '18
                  February 2018
                  809 pages
                  ISSN:0362-1340
                  EISSN:1558-1160
                  DOI:10.1145/3296957
                  Issue’s Table of Contents

                Copyright © 2018 ACM

                Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

                Publisher

                Association for Computing Machinery

                New York, NY, United States

                Publication History

                • Published: 19 March 2018

                Permissions

                Request permissions about this article.

                Request Permissions

                Check for updates

                Qualifiers

                • research-article

                Acceptance Rates

                ASPLOS '18 Paper Acceptance Rate56of319submissions,18%Overall Acceptance Rate535of2,713submissions,20%

              PDF Format

              View or Download as a PDF file.

              PDF

              eReader

              View online with eReader.

              eReader