skip to main content
research-article

An Evaluation on the Accuracy of the Minimum-Width Transistor Area Models in Ranking the Layout Area of FPGA Architectures

Published:14 March 2018Publication History
Skip Abstract Section

Abstract

This work provides an evaluation on the accuracy of the minimum-width transistor area models in ranking the actual layout area of FPGA architectures. Both the original VPR area model and the new COFFE area model are compared against the actual layouts with up to three metal layers for the various FPGA building blocks. We found that both models have significant variations with respect to the accuracy of their predictions across the building blocks. In particular, the original VPR model overestimates the layout area of larger buffers, full adders, and multiplexers by as much as 38%, while they underestimate the layout area of smaller buffers and multiplexers by as much as 58%, for an overall prediction error variation of 96%. The newer COFFE model also significantly overestimates the layout area of full adders by 13% and underestimates the layout area of multiplexers by a maximum of 60% for a prediction error variation of 73%. Such variations are particularly significant considering sensitivity analyses are not routinely performed in FPGA architectural studies. Our results suggest that such analyses are extremely important in studies that employ the minimum-width area models so the tolerance of the architectural conclusions against the prediction error variations can be quantified. Furthermore, an open-source version of the layouts of the actual FPGA building blocks should be created so their actual layout area can be used to achieve a highly accurate ranking of the implementation area of FPGA architectures built upon these layouts.

References

  1. Vaughn Betz and Jonathan Rose. 1998. How much logic should go in an FPGA logic block? IEEE Des. Test 15, 1 (January 1998), 10--15. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Alexander (Sandy) Marquardt, Vaughn Betz, and Jonathan Rose. 1999. Using cluster-based logic blocks and timing-driven packing to improve FPGA speed and density. In Proceedings of the 1999 ACM/SIGDA 7th International Symposium on Field Programmable Gate Arrays (FPGA'99). ACM, New York, 37--46. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Alexander Marquardt, Vaughn Betz, and Jonathan Rose. 2000. Speed and area tradeoffs in cluster-based FPGA architectures. IEEE Trans. Very Large Scale Integr. Syst. 8, 1 (February 2000), 84--93. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Guy Lemieux and David Lewis. 2001. Using sparse crossbars within LUT. In Proceedings of the 2001 ACM/SIGDA 9th International Symposium on Field Programmable Gate Arrays (FPGA'01). ACM, New York, 59--68. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Elias Ahmed and Jonathan Rose. 2004. The effect of LUT and cluster size on deep-submicron FPGA performance and density. IEEE Trans. Very Large Scale Integr. Syst. 12, 3 (March 2004), 288--298. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Grace Zgheib, Liqun Yang, Zhihong Huang, David Novo, Hadi Parandeh-Afshar, Haigang Yang, and Paolo Ienne. 2014. Revisiting and-inverter cones. In Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA'14). ACM, New York, 45--54. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Vaughn Betz and Jonathan Rose. 1999. FPGA routing architecture: Segmentation and buffering to optimize speed and density. In Proceedings of the 1999 ACM/SIGDA 7th International Symposium on Field Programmable Gate Arrays (FPGA'99). ACM, New York, 59--68. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Guy Lemieux, Edmund Lee, Marvin Tom, and Anthony J. Yu. 2004. Directional and single-driver wires in FPGA interconnect. In Proceedings Of the 2004 IEEE International Conference on Field-Programmable Technology (IEEE Cat. No. 04EX921), 41--48.Google ScholarGoogle Scholar
  9. Alastair M. Smith, George A. Constantinides, and Peter Y. K. Cheung. 2009. Area estimation and optimisation of FPGA routing fabrics. International Conference on Field Programmable Logic and Applications, 256--261.Google ScholarGoogle Scholar
  10. Phoebe Ping Chen and Andy Ye. 2011. The effect of multi-bit correlation on the design of field-programmable gate array routing resources. IEEE Trans. Very Large Scale Integr. Syst. 19, 2 (February 2011), 283--294. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Vaughn Betz, Jonathan Rose, and Alexander Marquardt (Eds.). 1999. Architecture and CAD for Deep-Submicron FPGAs. Kluwer Academic Publishers, Norwell, MA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Farheen Fatima Khan and Andy Ye. 2015. Measuring the accuracy of minimum width transistor area in estimating FPGA layout area. In Proceedings of the 2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM'15). IEEE Computer Society, 223--226. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Xilinx. 2014. Zynq-7000 All Programmable SOC Overview. Xilinx, Sanclose, CA.Google ScholarGoogle Scholar
  14. Altera. 2014. Meeting the Performance and Power Imperative of Zettabyte Era with Generation 10. Altera, Sanclose, CA.Google ScholarGoogle Scholar
  15. Jason Luu, Jeffrey Goeders, Michael Wainberg, Andrew Somerville, Thien Yu, Konstantin Nasartschuk, Miad Nasr, Sen Wang, Tim Liu, Nooruddin Ahmed, Kenneth B. Kent, Jason Anderson, Jonathan Rose, and Vaughn Betz. 2014. VTR 7.0: Next Generation Architecture and CAD System for FPGAs. ACM Trans. Reconfigurable Technol. Syst. 7, 2, Article 6 (July 2014), 30 pages. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Ian Kuon, Aaron Egier, and Jonathan Rose. 2005. Design, layout and verification of an FPGA using automated tools. In Proceedings of the 2005 ACM/SIGDA 13th International Symposium on Field-Programmable Gate Arrays (FPGA'05). ACM, New York, NY, 215--226. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Ketan Padalia, Ryan Fung, Mark Bourgeault, Aaron Egier, and Jonathan Rose. 2003. Automatic transistor and physical design of FPGA tiles from an architectural specification. In Proceedings of the 2003 ACM/SIGDA 11th International Symposium on Field Programmable Gate Arrays (FPGA'03). ACM, New York, 164--172. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Charles Chiasson and Vaughn Betz. 2013. COFFE: Fully-automated transistor sizing for FPGAs. In International Conference on Field-Programmable Technology (FPT’13), 34--41.Google ScholarGoogle ScholarCross RefCross Ref
  19. MOSIS Integrated Circuit Fabrication Service. 2009. MOSIS Scalable CMOS. MOSIS Integrated Circuit Fabrication Service, Marina del Rey, CA.Google ScholarGoogle Scholar
  20. John Ousterhout. 2015. Magic VLSI Layout Tool. Retrieved from http://opencircuitdesign.com.Google ScholarGoogle Scholar
  21. Neil Weste and David Harris. 2010. CMOS VLSI Design: A Circuits and Systems Perspective. 4th ed. Addison-Wesley Publishing Company. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. David Lewis, Elias Ahmed, Gregg Baeckler, Vaughn Betz, Mark Bourgeault, David Cashman, David Galloway, Mike Hutton, Chris Lane, Andy Lee, Paul Leventis, Sandy Marquardt, Cameron McClintock, Ketan Padalia, Bruce Pedersen, Giles Powell, Boris Ratchev, Srinivas Reddy, Jay Schleicher, Kevin Stevens, Richard Yuan, Richard Cliff, and Jonathan Rose. 2005. The Stratix II logic and routing architecture. In Proceedings of the 2005 ACM/SIGDA 13th International Symposium on Field-Programmable Gate Arrays (FPGA'05). ACM, New York, 14--20. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Avaneendra Gupta and John P. Hayes. 1998. Optimal 2-D cell layout with integrated transistor folding. In Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design (ICCAD'98). ACM, New York, 128--135. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Chen Chen, Roozbeh Parsa, Nishant Patil, Soogine Chong, Kerem Akarvardar, J. Provine, David Lewis, Jeff Watt, Roger T. Howe, H. S. Philip Wong, and Subhasish Mitra. 2010. Efficient FPGAs using nanoelectromechanical relays. In Proceedings of the 18th Annual ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA'10). ACM, New York, 273--282. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Farheen Fatima Khan and Andy Ye. 2016. An evaluation on the accuracy of the minimum width transistor area models in ranking the layout area of FPGA architectures. In 26th International Conference on Field Programmable Logic and Applications (FPL’16). 1--11.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. An Evaluation on the Accuracy of the Minimum-Width Transistor Area Models in Ranking the Layout Area of FPGA Architectures

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        • Published in

          cover image ACM Transactions on Reconfigurable Technology and Systems
          ACM Transactions on Reconfigurable Technology and Systems  Volume 11, Issue 1
          Special Section on FCCM 2016 and Regular Papers
          March 2018
          183 pages
          ISSN:1936-7406
          EISSN:1936-7414
          DOI:10.1145/3178391
          • Editor:
          • Steve Wilton
          Issue’s Table of Contents

          Copyright © 2018 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 14 March 2018
          • Revised: 1 January 2018
          • Accepted: 1 January 2018
          • Received: 1 April 2017
          Published in trets Volume 11, Issue 1

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article
          • Research
          • Refereed

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader