skip to main content
10.1145/3195970.3195994acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Approximation-aware coordinated power/performance management for heterogeneous multi-cores

Published:24 June 2018Publication History

ABSTRACT

Run-time resource management of heterogeneous multi-core systems is challenging due to i) dynamic workloads, that often result in ii) conflicting knob actuation decisions, which potentially iii) compromise on performance for thermal safety. We present a runtime resource management strategy for performance guarantees under power constraints using functionally approximate kernels that exploit accuracy-performance trade-offs within error resilient applications. Our controller integrates approximation with power knobs - DVFS, CPU quota, task migration - in coordinated manner to make performance-aware decisions on power management under variable workloads. Experimental results on Odroid XU3 show the effectiveness of this strategy in meeting performance requirements without power violations compared to existing solutions.

References

  1. W. Baek et al. Green: A Framework for Supporting Energy-Conscious Programming using Controlled Approximation. In PLDI, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. R. Cochran et al. Pack & cap: adaptive dvfs and thread packing under power caps. In MICRO, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. B. Donyanavard et al. SPARTA: Runtime Task Allocation for Energy Efficient Heterogeneous Many-cores. In Proc. of CODES+ISSS, pages 27:1--27:10, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. H. Esmaeilzadeh et al. Neural Acceleration for General-Purpose Approximate Programs. In MICRO, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. S. Pagani et al. TSP: Thermal Safe Power: Efficient Power Budgeting for many-core systems in dark silicon era. In CODES+ISSS, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. F. Gaspar et al. Performance-Aware Task Management and Frequency Scaling in Embedded Systems. In In Proc. of SBAC-PAD, pages 65--72, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. F Gaspar et al. A framework for application-guided task management on heterogeneous embedded systems. ACM TACO, 12(4):42, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. H. Hoffmann et al. Application heartbeats: a generic interface for specifying program performance and goals in autonomous computing environments. In Proc. Int. Conf. on Autonomic computing, pages 79--88. ACM, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. H. Hoffmann et al. Dynamic knobs for responsive power-aware computing. ACM SIGPLAN Notices, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. S. Holmback et al. Performance Monitor Based Power Management for big. LITTLE Platforms. In Proc. HIPEAC Workshop on Energy Efficiency with Heterogeneous Computing, pages 1--6, 2015.Google ScholarGoogle Scholar
  11. C. Imes and H. Hoffmann. Minimizing Energy Under Performance Constraints on Embedded Platforms: Resource Allocation Heuristics for Homogeneous and single-ISA Heterogeneous Multi-cores. SIGBED Rev., 11(4):49--54, January 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Norman P Jouppi et al. In-datacenter performance analysis of a tensor processing unit. SIGARCH Comput. Archit. News, 45(2):1--12, June 2017. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. A. Kanduri et al. Approximation knob: Power capping meets energy efficiency. In In Proc. of ICCAD, pages 1--8. IEEE, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. K. Ma and X. Wang. PGCapping: Exploiting power gating for power capping and core lifetime balancing in CMPs. PACT, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. T.S. Muthukaruppan et al. Hierarchical power management for asymmetric multi-core in dark silicon era. In Proc. of DAC, pages 1--9, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. D. Palomino et al. Thermal optimization using adaptive approximate computing for video coding. In In Proc. of DATE, pages 1207--1212, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. A. Pathania et al. Integrated CPU-GPU power management for 3D mobile games. In Proc. of DAC, pages 1--6, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. A. Rahmani et al. Dynamic power management for many-core platforms in the dark silicon era: A multi-objective control approach. In ISLPED, 2015.Google ScholarGoogle ScholarCross RefCross Ref
  19. A.M. Rahmani, P. Liljeberg, A. Hemani, A. Jantsch, and H. Tenhunen. The Dark Side of Silicon. Springer, 1st edition edition, 2016.Google ScholarGoogle Scholar
  20. H. Rexha et al. Core Level Utilization for Achieving Energy Efficiency in Heterogeneous Systems. In Proc. of PDP, pages 401--407, 2017.Google ScholarGoogle ScholarCross RefCross Ref
  21. P. Schulz et al. Latency critical iot applications in 5g: Perspective on the design of radio interface and network architecture. IEEE Communications Magazine, 55(2):70--78, 2017. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. S. Sidiroglou et al. Managing performance vs. accuracy trade-offs with loop perforation. In FSE, 2011.Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. X. Sui et al. Proactive control of approximate programs. In Proc. of ASPLOS, pages 607--621. ACM, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. C. Tan et al. Approximation-aware scheduling on heterogeneous multi-core architectures. In Proc. of ASP-DAC, pages 618--623, 2015.Google ScholarGoogle Scholar
  25. A. Vega et al. Crank it up or dial it down: Coordinated multiprocessor frequency and folding control. In MICRO, pages 210--221, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. K. Yu et al. Power-aware task scheduling for big. LITTLE mobile processor. In Proc. Int. SoC Design Conf., pages 208--212, 2013.Google ScholarGoogle Scholar

Index Terms

  1. Approximation-aware coordinated power/performance management for heterogeneous multi-cores

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        DAC '18: Proceedings of the 55th Annual Design Automation Conference
        June 2018
        1089 pages
        ISBN:9781450357005
        DOI:10.1145/3195970

        Copyright © 2018 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 24 June 2018

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%

        Upcoming Conference

        DAC '24
        61st ACM/IEEE Design Automation Conference
        June 23 - 27, 2024
        San Francisco , CA , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader