skip to main content
10.1145/3195970.3195996acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Area-optimized low-latency approximate multipliers for FPGA-based hardware accelerators

Published:24 June 2018Publication History

ABSTRACT

The architectural differences between ASICs and FPGAs limit the effective performance gains achievable by the application of ASIC-based approximation principles for FPGA-based reconfigurable computing systems. This paper presents a novel approximate multiplier architecture customized towards the FPGA-based fabrics, an efficient design methodology, and an open-source library. Our designs provide higher area, latency and energy gains along with better output accuracy than those offered by the state-of-the-art ASIC-based approximate multipliers. Moreover, compared to the multiplier IP offered by the Xilinx Vivado, our proposed design achieves up to 30%, 53%, and 67% gains in terms of area, latency, and energy, respectively, while incurring an insignificant accuracy loss (on average, below 1% average relative error). Our library of approximate multipliers is open-source and available online at https://cfaed.tudresden.de/pd-downloads to fuel further research and development in this area, and thereby enabling a new research direction for the FPGA community.

References

  1. K. Bhardwaj et al. 2014. Power-and area-efficient Approximate Wallace Tree Multiplier for error-resilient systems. In ISQED. IEEE.Google ScholarGoogle Scholar
  2. N. Brunie et al. 2013. Arithmetic core generation using bit heaps. In FPL.Google ScholarGoogle Scholar
  3. V. K Chippa et el. 2013. Analysis and characterization of inherent application resilience for approximate computing. In DAC. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. A. K. Verma et al. 2008. Variable Latency Speculative Addition: A New Paradigm for Arithmetic Circuit Design. In DATE. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. M. Shafique et al. 2015. A low latency generic accuracy configurable adder. In DAC. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. P. Kulkarni et al. 2011. Trading Accuracy for Power with an Underdesigned Multiplier Architecture. In Internatioal Conference on VLSI Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. S. Hashemi et al. {n. d.}. Drum: A dynamic range unbiased multiplier for approximate applications. In ICCAD. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. V. Gupta et al. 2013. Low-Power Digital Signal Processing Using Approximate Adders. IEEE Transactions on CAD of Integrated Circuits and Systems (2013). Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. V. Gupta et al. 2011. IMPACT: imprecise adders for low-power approximate computing. In ISLPED. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Intel. 2017. Integer Arithmetic IP Cores User Guide. (2017). https://www.altera.com/en_US/pdfs/literature/ug/ug_lpm_alt_mfug.pdfGoogle ScholarGoogle Scholar
  11. A. B Kahng et al. 2012. Accuracy-configurable adder for approximate arithmetic designs. In DAC. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. M. Kumm et al. 2015. An efficient softcore multiplier architecture for Xilinx FPGAs. In ARITH. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Ian Kuon and Jonathan Rose. 2007. Measuring the gap between FPGAs and ASICs. IEEE TCAD 26, 2 (2007). Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Chia-Hao Lin et al. 2013. High accuracy approximate multiplier with error correction. In ICCD.Google ScholarGoogle Scholar
  15. C. Liu et al. 2014. A low-power, high-performance approximate multiplier with configurable partial error recovery. In DATE. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. J. Mody et al. 2015. Study of approximate compressors for multiplication using FPGA. In IC-GET.Google ScholarGoogle Scholar
  17. V. Mrazek et al. 2017. EvoApproxSb: Library of approximate adders and multipliers for circuit design and benchmarking of approximation methods. In DATE. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. H. Parandeh-Afshar et al. 2011. Measuring and reducing the performance gap between embedded and soft multipliers on FPGAs. In FPL. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. S. Rehman et al. 2016. Architectural-space exploration of approximate multipliers. In ICCAD. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Xilinx. 2011. LogiCORE IP Multiplier v11.2. (2011). https://www.xilinx.com/support/documentation/ip_documentation/mult_gen_ds255.pdfGoogle ScholarGoogle Scholar
  21. Xilinx. 2016. 7 Series FPGAs Configurable Logic Block User Guide. (2016). https://www.xilinx.com/support/documentation/user_guides/ug474_7Series_CLB.pdfGoogle ScholarGoogle Scholar

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Conferences
    DAC '18: Proceedings of the 55th Annual Design Automation Conference
    June 2018
    1089 pages
    ISBN:9781450357005
    DOI:10.1145/3195970

    Copyright © 2018 ACM

    © 2018 Association for Computing Machinery. ACM acknowledges that this contribution was authored or co-authored by an employee, contractor or affiliate of a national government. As such, the Government retains a nonexclusive, royalty-free right to publish or reproduce this article, or to allow others to do so, for Government purposes only.

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 24 June 2018

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article

    Acceptance Rates

    Overall Acceptance Rate1,770of5,499submissions,32%

    Upcoming Conference

    DAC '24
    61st ACM/IEEE Design Automation Conference
    June 23 - 27, 2024
    San Francisco , CA , USA

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader