skip to main content
10.1145/3240765.3240789guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
research-article

CustomTopo: A Topology Generation Method for Application-Specific Wavelength-Routed Optical NoCs

Authors Info & Claims
Published:05 November 2018Publication History

ABSTRACT

Optical network-on-chip (NoC) is a promising platform beyond electronic NoCs. In particular, wavelength-routed optical network-on-chip (WRONoC) is renowned for its high bandwidth and ultra-low signal delay. Current WRONoC topology generation approaches focus on full-connectivity, i.e. all masters are connected to all slaves. This assumption leads to wasted resources for application-specific designs. In this work, we propose CustomTopo: a general solution to the topology generation problem on WRONoCs that supports customized connectivity. CustomTopo models the topology structure and its communication behavior as an integer-linear-programming (ILP) problem, with an adjustable optimization target considering the number of add-drop filters (ADFs), the number of wavelengths, and insertion loss. The time for solving the ILP problem in general positively correlates with the network communication densities. Experimental results show that CustomTopo is applicable for various communication requirements, and the resulting customized topology enables a remarkable reduction in both resource usage and insertion loss.

References

  1. [1].Manolatou C. and Haus H.A., Passive Components for Dense Optical Integration. Springer, 2002.Google ScholarGoogle Scholar
  2. [2].Brière M., Girodias B., Bouchebaba Y., Nicolescu G., Mieyeville F., Gaffiot F., and O'Connor I., “System level assessment of an optical noc in an mpsoc platform,” in Proc. Design, Automation, and Test Europe Conf., 2007, pp. 10841089.Google ScholarGoogle Scholar
  3. [3].Tan X., Yang M., Zhang L., Jiang Y., and Yang J., “On a scalable, non-blocking optical router for photonic networks-on-chip designs,” in Symp. Photonics and Optoelectronics (SOPO), 2011.Google ScholarGoogle Scholar
  4. [4].Fusella E., Flich J., and Cilardo A., “Path setup for hybrid noc architectures exploiting flooding and standby,” IEEE Trans. Parallel Distrib. Syst., vol. 28, no. 5, pp. 14031416, 2017.Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. [5].Gu H., Mo K.H., Xu J., and Zhang W., “A low-power low-cost optical router for optical networks-on-chip in multiprocessor systems-on-chip,” in VLSI, 2009. ISVLSI '09. IEEE Computer Society Annual Symposium on, 2009, pp. 1924.Google ScholarGoogle Scholar
  6. [6].Xie Y., Nikdast M., Xu J., Zhang W., Li Q., Wu X., Ye Y., Wang X., and Liu W., “Crosstalk noise and bit error rate analysis for optical network-on-chip,” in Proc. Design Autom. Conf., 2010, pp. 657660.Google ScholarGoogle Scholar
  7. [7].Beux S.L., Trajkovic J., OâĂŹConnor I., Nicolescu G., Bois G., and Paulin P., “Optical ring network-on-chip (ornoc): Architecture and design methodology,” in Proc. Design, Automation, and Test Europe Conf., 2011, pp. 788793.Google ScholarGoogle Scholar
  8. [8].Peano A., Ramini L., Gavanelli M., Nonato M., and Bertozzi D., “Design technology for fault-free and maximally-parallel wavelength-routed optical networks-on-chip,” in Proc. Int. Conf. Comput.-Aided Des., 2016, pp. 3:13:8.Google ScholarGoogle Scholar
  9. [9].Wang Z., Pang Z., Yang P., Xu J., Chen X., Maeda R.K.V., Wang Z., Duong L.H., Li H., and Wang Z., “Moca: an inter/intra-chip optical network for memory,” in Proc. Design Autom. Conf., 2017, pp. 16.Google ScholarGoogle Scholar
  10. [10].Ramini L., Grani P., Bartolini S., and Bertozzi D., “Contrasting wavelength-routed optical noc topologies for power-efficient 3d-stacked multicore processors using physical-layer analysis,” in Proc. Design, Automation, and Test Europe Conf., 2013, pp. 15891594.Google ScholarGoogle Scholar
  11. [11].Beux S.L., O'Connor I., Nicolescu G., Bois G., and Paulin P.G., “Reduction methods for adapting optical network on chip topologies to 3d architectures,” Microprocessors and Microsystems: Embedded Hardware Design, vol. 37, no. 1, pp. 8798, 2013.Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. [12].Omar H. and Hamwi K., “Mhynesys ii: Multi-stage hybrid network on chip synthesis for next generation 3d ic manycore,” in IEEE International Symposium on Circuits and Systems, 2013, pp. 325328.Google ScholarGoogle Scholar
  13. [13].Preston K., Scherwood-Droz N., Levy J.S., and Lipson M., “Performance guidelines for wdm interconnects based on silicon microring resonators,” CLEO: Science and Innovations, 2011.Google ScholarGoogle Scholar
  14. [14].Grani P., Proietti R., Akella V., and Yoo S.J.B., “Design and evaluation of awgr-based photonic noc architectures for 2.5d integrated high performance computing systems,” in High Performance Computer Architecture (HPCA), 2017, pp. 289300.Google ScholarGoogle Scholar
  15. [15].Vantrease D., Schreiber R., Monchiero M., McLaren M., Jouppi N.P., Fiorentino M., Davis A., Binkert N., Beausoleil R.G., and Ahn J.H., “Corona: System implications of emerging nanophotonic technology,” ACM SIGARCH Computer Architecture News, vol. 36, no. 3, pp. 153164, 2008.Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. [16].Nikdast M., Xu J., Duong L.H.K., Wu X., Wang X., Wang Z., Wang Z., Yang P., Ye Y., and Hao Q., “Crosstalk noise in wdm-based optical networks-on-chips: A formal study and comparison,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 23, no. 11, pp. 25522565, 2015.Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. [17].Liu F., Zhang H., Chen Y., Huang Z., and Gu H., “Wavelength-reused hierarchical optical network on chip architecture for manycore processors,” IEEE Transactions on Sustainable Computing, 2017.Google ScholarGoogle ScholarCross RefCross Ref
  18. [18].Ramini L., Bertozzi D., and Carloni L.P., “Engineering a bandwidth-scalable optical layer for a 3d multi-core processor with awareness of layout constraints,” in IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2012, pp. 185192.Google ScholarGoogle Scholar
  19. [19].Tala M., Castellari M., Balboni M., and Bertozzi D., “Populating and exploring the design space of wavelength-routed optical network-on-chip topologies by leveraging the add-drop filtering primitive,” in IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2016. pp. 18.Google ScholarGoogle Scholar
  20. [20].Beuningen A.V., Ramini L., Bertozzi D., and Schlichtmann U., “PROTON+: A placement and routing tool for 3d optical networks-on-chip with a single optical layer,” J. Emerg. Technol. Comput. Syst., vol. 12, no. 4, pp. 44:144:28, 2016.Google ScholarGoogle Scholar
  21. [21].Beuningen A.V. and Schlichtmann U., “PLATON: A force-directed placement algorithm for 3d optical networks-on-chip,” in Proc. Int. Symp. Phy. Des., 2016, pp. 2734.Google ScholarGoogle Scholar
  22. [22].König D., “Über Graphen und ihre Anwendung auf Determinantentheorie und Mengenlehre,” M athematische Annalen, vol. 77, no. 4, pp. 453465, 1916.Google ScholarGoogle ScholarCross RefCross Ref
  23. [23].Beux S.L., Li H., Nicolescu G., Trajkovic J., and O'Connor I., “Optical crossbars on chip, a comparative study based on worst-case losses,” Concurrency and Computation: Practice and Experience, 2014.Google ScholarGoogle Scholar
  24. [24].Gurobi Optimization, Inc., Gurobi Optimizer Reference Manual. http://www.gurobi.comGoogle ScholarGoogle Scholar
  25. [25].Bertozzi D., Jalabert A., Murali S., Tamhankar R., Stergiou S., Benini L., and Micheli G.D., “Noc synthesis flow for customized domain specific multiprocessor systems-on-chip,” IEEE Trans. Parallel Distrib. Syst., vol. 16, no. 2, pp. 113129, 2005.Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. [26].Moonen A., Bekooij M., van den Berg R., and van Meerbergen J., “Practical and accurate throughput analysis with the cyclo static dataflow model,” in 15th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), 2007, pp. 238245.Google ScholarGoogle Scholar
  27. [27].Alhonen A., Salminen E., Lehtonen L., and Hämäläinen T.D., “A scalable, non-interfering, synthesizable network-on-chip monitor - extended version,” Microprocessors and Microsystems, vol. 37, pp. 446459, 2013.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. CustomTopo: A Topology Generation Method for Application-Specific Wavelength-Routed Optical NoCs
        Index terms have been assigned to the content through auto-classification.

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image Guide Proceedings
          2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
          Nov 2018
          939 pages

          Copyright © 2018

          Publisher

          IEEE Press

          Publication History

          • Published: 5 November 2018

          Permissions

          Request permissions about this article.

          Request Permissions

          Qualifiers

          • research-article