skip to main content
10.1145/3316781.3317739acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article
Open Access

A Configurable Multi-Precision CNN Computing Framework Based on Single Bit RRAM

Published:02 June 2019Publication History

ABSTRACT

Convolutional Neural Networks (CNNs) play a vital role in machine learning. Emerging resistive random-access memories (RRAMs) and RRAM-based Processing-In-Memory architectures have demonstrated great potentials in boosting both the performance and energy efficiency of CNNs. However, restricted by the immature process technology, it is hard to implement and fabricate a CNN accelerator chip based on multi-bit RRAM devices. In addition, existing single bit RRAM based CNN accelerators only focus on binary or ternary CNNs which have more than 10% accuracy loss compared with full precision CNNs. This paper proposes a configurable multi-precision CNN computing framework based on single bit RRAM, which consists of an RRAM computing overhead aware network quantization algorithm and a configurable multi-precision CNN computing architecture based on single bit RRAM. The proposed method can achieve equivalent accuracy as full precision CNN but also with lower storage consumption and latency via multiple precision quantization. The designed architecture supports for accelerating the multi-precision CNNs even with various precision among different layers. Experiment results show that the proposed framework can reduce 70% computing area and 75% computing energy on average, with nearly no accuracy loss. And the equivalent energy efficiency is 1.6 ~ 8.6× compared with existing RRAM based architectures with only 1.07% area overhead.

References

  1. M. Chang et al. 2014. 19.4 embedded 1Mb ReRAM in 28nm CMOS with 0.27-to-1V read using swing-sample-and-couple sense amplifier and self-boost-write-termination scheme. In ISSCC, 2014. 332--333.Google ScholarGoogle Scholar
  2. W. Chen et al. 2018. A 65nm 1Mb nonvolatile computing-in-memory ReRAM macro with sub-16ns multiply-and-accumulate for binary DNN AI edge processors. In ISSCC, 2018. 494--496.Google ScholarGoogle Scholar
  3. P. Chi et al. 2016. PRIME: A Novel Processing-in-memory Architecture for Neural Network Computation in ReRAM-based Main Memory. In ISCA, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. K. D. Choo et al. 2016. 27.3 Area-efficient 1GS/s 6b SAR ADC with charge-injection-cell-based DAC. In ISSCC, 2016. 460--461.Google ScholarGoogle Scholar
  5. K. He et al. 2016. Deep Residual Learning for Image Recognition. In CVPR, 2016.Google ScholarGoogle ScholarCross RefCross Ref
  6. M. Hu et al. 2016. Dot-product engine for neuromorphic computing: Programming 1T1M crossbar to accelerate matrix-vector multiplication. In DAC, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Kaggle et al. 2014. CIFAR-10 - Object Recognition in Images, website. (2014). https://www.kaggle.com/c/cifar-10.Google ScholarGoogle Scholar
  8. S. Karen et al. 2014. Very Deep Convolutional Networks for Large-Scale Image Recognition. Computer Science (2014).Google ScholarGoogle Scholar
  9. L. Kull et al. 2017. 28.5 A 10b 1.5GS/s pipelined-SAR ADC with background second-stage common-mode regulation and offset calibration in 14nm CMOS FinFET. In ISSCC, 2017. 474--475.Google ScholarGoogle Scholar
  10. Y. LeCun et al. 1998. Gradient-based learning applied to document recognition. In Proceedings of the IEEE, 1998. 2278--2324.Google ScholarGoogle ScholarCross RefCross Ref
  11. B. Li et al. 2015. MErging the Interface: Power, area and accuracy co-optimization for RRAM crossbar-based mixed-signal computing system. In DAC, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. J. Lin et al. 2018. Rescuing memristor-based computing with non-linear resistance levels. In DATE, 2018. 407--412.Google ScholarGoogle Scholar
  13. M. Rastegari et al. 2016. Xnor-net: Imagenet classification using binary convolutional neural networks. In ECCV, 2016. Springer, 525--542.Google ScholarGoogle Scholar
  14. M. Saberi et al. 2011. Analysis of Power Consumption and Linearity in Capacitive Digital-to-Analog Converters Used in Successive Approximation ADCs. IEEE Transactions on Circuits and Systems I: Regular Papers 58, 8 (Aug 2011), 1736--1748.Google ScholarGoogle ScholarCross RefCross Ref
  15. A. Shafiee et al. 2016. ISAAC: A Convolutional Neural Network Accelerator with In-situ Analog Arithmetic in Crossbars. In ISCA, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. L. Song et al. 2017. PipeLayer: A Pipelined ReRAM-Based Accelerator for Deep Learning. In HPCA, 2017.Google ScholarGoogle ScholarCross RefCross Ref
  17. X. Sun et al. 2018. XNOR-RRAM: A scalable and parallel resistive synaptic architecture for binary neural networks. In DATE, 2018. 1423--1428.Google ScholarGoogle Scholar
  18. T. Tang et al. 2017. Binary convolutional neural network on RRAM. In ASPDAC, 2017. 782--787.Google ScholarGoogle Scholar
  19. H. S. P. Wong et al. 2012. Metal Oxide RRAM. Proc. IEEE 100, 6, 1951--1970.Google ScholarGoogle ScholarCross RefCross Ref
  20. S. Wu et al. 2018. Training and inference with integers in deep neural networks. arXiv preprint arXiv:1802.04680 (2018).Google ScholarGoogle Scholar
  21. L. Xia et al. 2016. Switched by input: Power efficient structure for RRAM-based convolutional neural network. In DAC, 2016. 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. S. Yu and P. Chen. 2016. Emerging Memory Technologies: Recent Trends and Prospects. IEEE Solid-State Circuits Magazine 8, 2 (Spring 2016), 43--56.Google ScholarGoogle ScholarCross RefCross Ref
  23. S. Zhou et al. 2016. Dorefa-net: Training low bitwidth convolutional neural networks with low bitwidth gradients. arXiv preprint arXiv:1606.06160 (2016).Google ScholarGoogle Scholar
  24. Z. Zhu et al. 2018. Mixed Size Crossbar based RRAM CNN Accelerator with Overlapped Mapping Method. In ICCAD, 2018. 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Conferences
    DAC '19: Proceedings of the 56th Annual Design Automation Conference 2019
    June 2019
    1378 pages
    ISBN:9781450367257
    DOI:10.1145/3316781

    Copyright © 2019 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 2 June 2019

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article
    • Research
    • Refereed limited

    Acceptance Rates

    Overall Acceptance Rate1,770of5,499submissions,32%

    Upcoming Conference

    DAC '24
    61st ACM/IEEE Design Automation Conference
    June 23 - 27, 2024
    San Francisco , CA , USA

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader