skip to main content
10.1145/3316781.3317803acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

DREAMPlace: Deep Learning Toolkit-Enabled GPU Acceleration for Modern VLSI Placement

Published:02 June 2019Publication History

ABSTRACT

Placement for very-large-scale integrated (VLSI) circuits is one of the most important steps for design closure. This paper proposes a novel GPU-accelerated placement framework DREAMPlace, by casting the analytical placement problem equivalently to training a neural network. Implemented on top of a widely-adopted deep learning toolkit PyTorch, with customized key kernels for wirelength and density computations, DREAMPlace can achieve over 30× speedup in global placement without quality degradation compared to the state-of-the-art multi-threaded placer RePlAce. We believe this work shall open up new directions for revisiting classical EDA problems with advancement in AI hardware and software.

References

  1. T. Chan, J. Cong, and K. Sze, "Multilevel generalized force-directed method for circuit placement," in Proc. ISPD. ACM, 2005, pp. 185--192. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. T.-C. Chen, Z.-W. Jiang, T.-C. Hsu, H.-C. Chen, and Y.-W. Chang, "Ntuplace3: An analytical placer for large-scale mixed-size designs with preplaced blocks and density constraints," IEEE TCAD, vol. 27, no. 7, pp. 1228--1240, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. M.-K. Hsu, Y.-F. Chen, C.-C. Huang, S. Chou, T.-H. Lin, T.-C. Chen, and Y.-W. Chang, "NTUplace4h: A novel routability-driven placement algorithm for hierarchical mixed-size circuit designs," IEEE TCAD, vol. 33, no. 12, pp. 1914--1927, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  4. J. Lu, P. Chen, C.-C. Chang, L. Sha, D. J.-H. Huang, C.-C. Teng, and C.-K. Cheng, "ePlace: Electrostatics-based placement using fast fourier transform and nesterov's method," ACM TODAES, vol. 20, no. 2, p. 17, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. J. Lu, H. Zhuang, P. Chen, H. Chang, C.-C. Chang, Y.-C. Wong, L. Sha, D. Huang, Y. Luo, C.-C. Teng et al., "ePlace-MS: Electrostatics-based placement for mixed-size circuits," IEEE TCAD, vol. 34, no. 5, pp. 685--698, 2015.Google ScholarGoogle Scholar
  6. C.-K. Cheng, A. B. Kahng, I. Kang, and L. Wang, "Replace: Advancing solution quality and routability validation in global placement," IEEE TCAD, 2018.Google ScholarGoogle Scholar
  7. Z. Zhu, J. Chen, Z. Peng, W. Zhu, and Y.-W. Chang, "Generalized augmented lagrangian and its applications to vlsi global placement," in Proc. DAC. IEEE, 2018, pp. 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. M.-C. Kim, D.-J. Lee, and I. L. Markov, "SimPL: An effective placement algorithm," IEEE TCAD, vol. 31, no. 1, pp. 50--60, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. X. He, T. Huang, L. Xiao, H. Tian, and E. F. Y. Young, "Ripple: A robust and effective routability-driven placer," IEEE TCAD, vol. 32, no. 10, pp. 1546--1556, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. T. Lin, C. Chu, J. R. Shinnerl, I. Bustany, and I. Nedelchev, "POLAR: A high performance mixed-size wirelengh-driven placer with density constraints," IEEE TCAD, vol. 34, no. 3, pp. 447--459, 2015.Google ScholarGoogle Scholar
  11. T. Lin, C. Chu, and G. Wu, "Polar 3.0: An ultrafast global placement engine," in Proc. ICCAD. IEEE, 2015, pp. 520--527. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. A. Ludwin, V. Betz, and K. Padalia, "High-quality, deterministic parallel placement for fpgas on commodity hardware," in Proc. FPGA. ACM, 2008, pp. 14--23. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. W. Li, M. Li, J. Wang, and D. Z. Pan, "UTPlaceF 3.0: A parallelization framework for modern FPGA global placement," in Proc. ICCAD. IEEE, 2017, pp. 922--928. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. J. Cong and Y. Zou, "Parallel multi-level analytical global placement on graphics processing units," in Proc. ICCAD. ACM, 2009, pp. 681--688. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. C.-X. Lin and M. D. Wong, "Accelerate analytical placement with gpu: A generic approach," in Proc. DATE. IEEE, 2018, pp. 1345--1350.Google ScholarGoogle Scholar
  16. A. B. Kahng, S. Reda, and Q. Wang, "Architecture and details of a high quality, large-scale analytical placer," in Proc. ICCAD. IEEE, 2005, pp. 891--898. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. I. Goodfellow, Y. Bengio, A. Courville, and Y. Bengio, Deep learning. MIT press Cambridge, 2016, vol. 1. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. A. B. Kahng and Q. Wang, "A faster implementation of APlace," in Proc. ISPD. ACM, 2006, pp. 218--220. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. J. Makhoul, "A fast cosine transform in one and two dimensions," IEEE Transactions on Signal Processing, vol. 28, no. 1, pp. 27--34, 1980.Google ScholarGoogle ScholarCross RefCross Ref
  20. D. Kinga and J. B. Adam, "A method for stochastic optimization," in Proc. ICLR, 2015.Google ScholarGoogle Scholar
  21. P. Spindler, U. Schlichtmann, and F. M. Johannes, "Abacus: fast legalization of standard cell circuits with minimal movement," in Proc. ISPD, 2008, pp. 47--53. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. G.-J. Nam, C. J. Alpert, P. Villarrubia, B. Winter, and M. Yildiz, "The ispd2005 placement contest and benchmark suite," in Proc. ISPD. ACM, 2005, pp. 216--220. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. S. Dhar and D. Z. Pan, "GDP: GPU accelerated detailed placement," in Proc. HPEC, Sept 2018.Google ScholarGoogle ScholarCross RefCross Ref
  24. T. F. Chan, K. Sze, J. R. Shinnerl, and M. Xie, "Mpl6: Enhanced multilevel mixed-size placement with congestion control," in Modern Circuit Placement. Springer, 2007.Google ScholarGoogle Scholar
  25. A. B. Kahng and Q. Wang, "An analytic placer for mixed-size placement and timing-driven placement," in Proc. ICCAD. IEEE, 2004, pp. 565--572. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. W. C. Naylor, R. Donelly, and L. Sha, "Non-linear optimization system and method for wire length and delay optimization for an automatic electric circuit placer," Oct. 9 2001, US Patent 6,301,693.Google ScholarGoogle Scholar
  1. DREAMPlace: Deep Learning Toolkit-Enabled GPU Acceleration for Modern VLSI Placement

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      DAC '19: Proceedings of the 56th Annual Design Automation Conference 2019
      June 2019
      1378 pages
      ISBN:9781450367257
      DOI:10.1145/3316781

      Copyright © 2019 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 2 June 2019

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed limited

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

      Upcoming Conference

      DAC '24
      61st ACM/IEEE Design Automation Conference
      June 23 - 27, 2024
      San Francisco , CA , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader