skip to main content
10.1145/3316781.3317809acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article
Public Access

Effective-Resistance Preserving Spectral Reduction of Graphs

Authors Info & Claims
Published:02 June 2019Publication History

ABSTRACT

This paper proposes a scalable algorithmic framework for effective-resistance preserving spectral reduction of large undirected graphs. The proposed method allows computing much smaller graphs while preserving the key spectral (structural) properties of the original graph. Our framework is built upon the following three key components: a spectrum-preserving node aggregation and reduction scheme, a spectral graph sparsification framework with iterative edge weight scaling, as well as effective-resistance preserving post-scaling and iterative solution refinement schemes. By leveraging recent similarity-aware spectral sparsification method and graph-theoretic algebraic multigrid (AMG) Laplacian solver, a novel constrained stochastic gradient descent (SGD) optimization approach has been proposed for achieving truly scalable performance (nearly-linear complexity) for spectral graph reduction. We show that the resultant spectrally-reduced graphs can robustly preserve the first few nontrivial eigenvalues and eigenvectors of the original graph Laplacian and thus allow for developing highly-scalable spectral graph partitioning and circuit simulation algorithms.

References

  1. C. J. Alpert. The ispd98 circuit benchmark suite. In Proceedings of the 1998 international symposium on Physical design, pages 80--85. ACM, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. D. A. Bader, H. Meyerhenke, P. Sanders, and D. Wagner. Graph partitioning and graph clustering. In 10th DIMACS Implementation Challenge Workshop, 2012.Google ScholarGoogle Scholar
  3. J. Batson, D. Spielman, and N. Srivastava. Twice-Ramanujan Sparsifiers. SIAM Journal on Computing, 41(6):1704--1721, 2012.Google ScholarGoogle ScholarCross RefCross Ref
  4. W. L. Briggs, S. F. McCormick, et al. A multigrid tutorial, volume 72. Siam, 2000. Google ScholarGoogle ScholarCross RefCross Ref
  5. J. Chen and I. Safro. Algebraic distance on graphs. SIAM Journal on Scientific Computing, 33(6):3468--3490, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. P. Christiano, J. Kelner, A. Madry, D. Spielman, and S. Teng. Electrical flows, laplacian systems, and faster approximation of maximum flow in undirected graphs. In Proc. ACM STOC, pages 273--282, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. T. Davis and Y. Hu. The university of florida sparse matrix collection. ACM Trans. on Math. Soft. (TOMS), 38(1):1, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. M. Defferrard, X. Bresson, and P. Vandergheynst. Convolutional neural networks on graphs with fast localized spectral filtering. In Advances in Neural Information Processing Systems, pages 3844--3852, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. M. Elkin, Y. Emek, D. A. Spielman, and N. Srivastava. Lower-stretch spanning trees. SIAM Journal on Computing, 38(2):608--628, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Z. Feng. Spectral graph sparsification in nearly-linear time leveraging efficient spectral perturbation analysis. In Design Automation Conference (DAC), 2016 53nd ACM/EDAC/IEEE, pages 1--6. IEEE, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Z. Feng. Similarity-aware spectral sparsification by edge filtering. In Design Automation Conference (DAC), 2018 55nd ACM/EDAC/IEEE. IEEE, 2018. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. G. Karypis, R. Aggarwal, V. Kumar, and S. Shekhar. Multilevel hypergraph partitioning: applications in vlsi domain. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 7(1):69--79, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Y. Koren. On spectral graph drawing. In International Computing and Combinatorics Conference, pages 496--508. Springer, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. J. R. Lee, S. O. Gharan, and L. Trevisan. Multiway spectral partitioning and higher-order cheeger inequalities. Journal of the ACM (JACM), 61(6):37, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. O. Livne and A. Brandt. Lean algebraic multigrid (LAMG): Fast graph Laplacian linear solver. SIAM Journal on Scientific Computing, 34(4):B499--B522, 2012.Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Y. Saad. Iterative methods for sparse linear systems, volume 82. siam, 2003. Google ScholarGoogle ScholarCross RefCross Ref
  17. D. I. Shuman, S. K. Narang, P. Frossard, A. Ortega, and P. Vandergheynst. The emerging field of signal processing on graphs: Extending high-dimensional data analysis to networks and other irregular domains. IEEE Signal Processing Magazine, 30(3):83--98, 2013.Google ScholarGoogle ScholarCross RefCross Ref
  18. D. Spielman and S. Teng. Nearly linear time algorithms for preconditioning and solving symmetric, diagonally dominant linear systems. SIAM Journal on Matrix Analysis and Applications, 35(3):835--885, 2014.Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. D. A. Spielman and N. Srivastava. Graph sparsification by effective resistances. SIAM Journal on Computing, 40(6):1913--1926, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. I. Sutskever, J. Martens, G. Dahl, and G. Hinton. On the importance of initialization and momentum in deep learning. In International conference on machine learning, pages 1139--1147, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. S.-H. Teng. Scalable algorithms for data and network analysis. Foundations and Trends® in Theoretical Computer Science, 12(1--2):1--274, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Z. Zhao and Z. Feng. A spectral graph sparsification approach to scalable vector-less power grid integrity verification. In Proceedings of the 54th Annual Design Automation Conference 2017, page 68. ACM, 2017. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Z. Zhao, Y. Wang, and Z. Feng. Nearly-linear time spectral graph reduction for scalable graph partitioning and data visualization. arXiv preprint arXiv:1812.08942.Google ScholarGoogle Scholar
  24. Z. Zhao, Y. Wang, and Z. Feng. SAMG: Sparsified graph theoretic algebraic multigrid for solving large symmetric diagonally dominant (SDD) matrices. In Proceedings of ACM/IEEE International Conference on Computer-Aided Design, pages 601--606, 2017. Google ScholarGoogle ScholarDigital LibraryDigital Library
  1. Effective-Resistance Preserving Spectral Reduction of Graphs

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      DAC '19: Proceedings of the 56th Annual Design Automation Conference 2019
      June 2019
      1378 pages
      ISBN:9781450367257
      DOI:10.1145/3316781

      Copyright © 2019 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 2 June 2019

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed limited

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

      Upcoming Conference

      DAC '24
      61st ACM/IEEE Design Automation Conference
      June 23 - 27, 2024
      San Francisco , CA , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader