skip to main content
research-article
Public Access

Layout Resynthesis by Applying Design-for-manufacturability Guidelines to Avoid Low-coverage Areas of a Cell-based Design

Published:29 May 2019Publication History
Skip Abstract Section

Abstract

Design-for-manufacturability (DFM) guidelines are recommended layout design practices intended to capture layout features that are difficult to manufacture correctly. Avoiding such features prevents the occurrence of potential systematic defects. Layout features that result in DFM guideline violations may not be avoided completely due to the design constraints of chip area, performance, and power consumption. A framework for translating DFM guideline violations into potential systematic defects, and faults, was described earlier. In a cell-based design, the translated faults may be internal or external to cells. In this article, we focus on undetectable faults that are external to cells. Using a resynthesis procedure that makes fine changes to the layout while maintaining the design constraints, we target areas of the design where large numbers of external faults related to DFM guideline violations are undetectable. By eliminating the corresponding DFM guideline violations, we ensure that the circuit does not suffer from low-coverage areas that may result in detectable systematic defects escaping detection, but failing the circuit in the field. The layout resynthesis procedure is applied to benchmark circuits and logic blocks of the OpenSPARC T1 microprocessor. Experimental results indicate that the improvement in the coverage of potential systematic defects is significant.

References

  1. C. Acero, D. Feltham, F. Hapke, E. Moghaddam, N. Mukherjee, V. Neerkundar, M. Patyra, J. Rajski, J. Tyszer, and J. Zawada. 2015. Embedded deterministic test points for compact cell-aware tests. In Proceedings of the International Test Conference. 1--8.Google ScholarGoogle Scholar
  2. R. D. Blanton, F. Wang, C. Xue, P. K. Nag, Y. Xue, and X. Li. 2013. DREAMS: DFM rule evaluation using manufactured silicon. In Proceedings of the International Conference on Computer-Aided Design. 99--106. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. M. Brodsky, S. Halle, V. Jophlin-Gut, L. Liebmann, D. Samuels, G. Crispo, K. Nafisi, V. Ramani, and I. Peterson. 2005. Process-window sensitive full-chip inspection for design-tosilicon optimization in the sub-wavelength era. In Proceedings of the IEEE/SEMI Conference and Workshop on Advanced Semiconductor Manufacturing. 64--71.Google ScholarGoogle Scholar
  4. S. Chiu and C. A. Papachristou. 1991. A design for testability scheme with applications to data path synthesis. In Proceedings of the Design Automation Conference. 271--277. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. R. Desineni, L. Pastel, M. Kassab, M. F. Fayaz, and J. Lee. 2010. Identifying design systematics using learning-based diagnostic analysis. In Proceedings of the Advanced Semiconductor Manufacturing Conference. 317--321.Google ScholarGoogle Scholar
  6. N. Devtaprasanna, A. Gunda, P. Krishnamurthy, S. M. Reddy, and I. Pomeranz. 2005. Methods for improving transition delay fault coverage using broadside tests. In Proceedings of the International Test Conference. 10 pp.--265.Google ScholarGoogle Scholar
  7. M. Fujita and A. Mishchenko. 2014. Efficient SAT-based ATPG techniques for all multiple stuck-at faults. In Proceedings of the International Test Conference. 1--10.Google ScholarGoogle Scholar
  8. C. Guardiani, N. Dragone, and P. McNamara. 2004. Proactive design for manufacturing (DFM) for nanometer SoC designs. In Proceedings of the Custom Integrated Circuits Conference. 309--316.Google ScholarGoogle Scholar
  9. P. Gupta, A. B. Kahng, I. Mandoiu, and P. Sharma. 2003. Layout-aware scan chain synthesis for improved path delay fault coverage. In Proceedings of the International Conference on Computer-Aided Design. 754--759. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. W. Howell, F. Hapke, E. Brazil, S. Venkataraman, R. Datta, A. Glowatz, W. Redemund, J. Schmerberg, A. Fast, and J. Rajski. 2018. DPPM reduction methods and new defect oriented test methods applied to advanced FinFET technologies. In Proceedings of the International Test Conference. 1--10.Google ScholarGoogle Scholar
  11. T. Jhaveri, A. Strojwas, L. Pileggi, and V. Rovner. 2008. Enabling technology scaling with “in production” lithography processes. In Proceedings of the SPIE, vol. 6924. 10.Google ScholarGoogle Scholar
  12. S. Kajihara, T. Sumioka, and K. Kinoshita. 1993. Test generation for multiple faults based on parallel vector pair analysis. In Proceedings of the International Conference on Computer-Aided Design. 436--439. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. D. Kim, M. E. Amyeen, S. Venkataraman, I. Pomeranz, S. Basumallick, and B. Landau. 2007. Testing for systematic defects based on DFM guidelines. In Proceedings of the International Test Conference. 1--10.Google ScholarGoogle Scholar
  14. D. Kim, I. Pomeranz, M. E. Amyeen, and S. Venkataraman. 2010. Defect diagnosis based on DFM guidelines. In Proceedings of the VLSI Test Symposium. 206--211.Google ScholarGoogle Scholar
  15. B. Krishnamurthy. 1987. A dynamic programming approach to the test point insertion problem. In Proceedings of the Design Automation Conference. 695--705. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. A. Krstic and K. Cheng. 1996. Resynthesis of combinational circuits for path count reduction and for path delay fault testability. In Proceedings of the European Design and Test Conference. 486--490. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. B. Kruseman, A. Majhi, C. Hora, S. Eichenberger, and J. Meirlevede. 2004. Systematic defects in deep sub-micron technologies. In Proceedings of the International Test Conference. 290--299. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. S. Kundu and A. Sreedhar. 2011. Modeling manufacturing process variation for design and test. In Proceedings of the Design, Automation and Test in Europe Conference. 1--6.Google ScholarGoogle Scholar
  19. Y. Liu, E. Moghaddam, N. Mukherjee, S. M. Reddy, J. Rajski, and J. Tyszer. 2016. Minimal area test points for deterministic patterns. In Proceedings of the International Test Conference. 1--7.Google ScholarGoogle Scholar
  20. P. Maxwell, F. Hapke, M. RyynAd'nen, and P. Weseloh. 2017. Bridge over troubled waters: Critical area-based pattern generation. In Proceedings of the European Test Symposium. 1--6.Google ScholarGoogle Scholar
  21. E. Moghaddam, N. Mukherjee, J. Rajski, J. Tyszer, and J. Zawada. 2016. Test point insertion in hybrid test compression/LBIST architectures. In Proceedings of the International Test Conference. 1--10.Google ScholarGoogle Scholar
  22. A. Nardi and A. L. Sangiovanni-Vincentelli. 2004. Synthesis for manufacturability: A sanity check. In Proceedings of the Design, Automation and Test in Europe Conference. 796--801. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. OpenSPARC T1. 2006. Retrieved from http://www.oracle.com/technetwork/systems/opensparc/index.html.Google ScholarGoogle Scholar
  24. C. A. Papachristou, S. Chiu, and H. Harmanani. 1991. A data path synthesis method for self-testable designs. In Proceedings of the Design Automation Conference. 378--384. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. I. Pomeranz. 2014. Design-for-testability for multi-cycle broadside tests by holding of state variables. ACM Trans. Des. Autom. Electron. Syst. 19, 2 (2014), 19:1--19:20. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. I. Pomeranz. 2015. Enhanced test compaction for multicycle broadside tests by using state complementation. ACM Trans. Des. Autom. Electron. Syst. 21, 1 (2015), 13:1--13:20. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. I. Pomeranz and S. M. Reddy. 1995. On synthesis-for-testability of combinational logic circuits. In Proceedings of the Design Automation Conference. 126--132. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. I. Pomeranz and S. M. Reddy. 1999. On achieving complete coverage of delay faults in full scan circuits using locally available lines. In Proceedings of the International Test Conference. 923--931. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. I. Pomeranz and S. M. Reddy. 2010. On clustering of undetectable single stuck-at faults and test quality in full-scan circuits. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 29, 7 (2010), 1135--1140. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. I. Pomeranz and S. M. Reddy. 2010. On multiple bridging faults. In Proceedings of the VLSI Test Symposium. 221--226.Google ScholarGoogle Scholar
  31. S. Ravi and M. Joseph. 2014. High-level test synthesis: A survey from synthesis process flow perspective. ACM Trans. Des. Autom. Electron. Syst. 19, 4 (2014), 38:1--38:27. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. C. Schuermyer, K. Cota, R. Madge, and B. Benware. 2005. Identification of systematic yield limiters in complex ASICS through volume structural test fail data visualization and analysis. In Proceedings of the International Test Conference. 9--145.Google ScholarGoogle Scholar
  33. B. Seshadri, P. Gupta, Y. T. Lin, and B. Cory. 2012. Systematic defect screening in controlled experiments using volume diagnosis. In Proceedings of the International Test Conference. 1--7. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. A. Sinha, S. Pandey, A. Singhal, A. Sanyal, and A. Schmaltz. 2017. DFM-aware fault model and ATPG for intra-cell and inter-cell defects. In Proceedings of the International Test Conference. 1--10.Google ScholarGoogle Scholar
  35. J. E. Stine, J. Grad, I. Castellanos, J. Blank, V. Dave, M. Prakash, N. Iliev, and N. Jachimiec. 2005. A framework for high-level synthesis of system on chip designs. In Proceedings of the International Conference on Microelectronic Systems Education. 67--68. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. C. Tabery, M. Craig, G. Burbach, B. Wagner, S. McGowan, P. Etter, S. Roling, C. Haidinyak, and E. Ehrichs. 2006. Process window and device variations evaluation using array-based characterization circuits. In Proceedings of the International Symposium on Quality Electronic Design. 6 pp.--265. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. W. C. Tam and S. Blanton. 2011. To DFM or not to DFM? In Proceedings of the Design Automation Conference. 65--70. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. R. Turakhia, M. Ward, S. K. Goel, and B. Benware. 2009. Bridging DFM analysis and volume diagnostics for yield learning - A case study. In Proceedings of the VLSI Test Symposium. 167--172. Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. N. Wang, I. Pomeranz, S. M. Reddy, A. Sinha, and S. Venkataraman. 2018. Resynthesis for avoiding undetectable faults based on design-for-manufacturability guidelines.Google ScholarGoogle Scholar
  40. S. Wang, Xiao Liu, and S. T. Chakradhar. 2004. Hybrid delay scan: A low hardware overhead scan-based delay test technique for high fault coverage and compact test sets. In Proceedings of the Design, Automation and Test in Europe Conference. 1296--1301. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. S. Wang, K. Peng, K. Hsiao, and K. S. Li. 2008. Layout-aware scan chain reorder for launch-off-shift transition test coverage. ACM Trans. Des. Autom. Electron. Syst. 13, 4 (2008), 64:1--64:16. Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. S. Wang, K. Peng, and K. S. Li. 2006. Layout-aware scan chain reorder for skewed-load transition test coverage. In Proceedings of the Asian Test Symposium. 169--174. Google ScholarGoogle ScholarDigital LibraryDigital Library
  43. S. Wang and T. Yeh. 2007. High-level test synthesis for delay fault testability. In Proceedings of the Design, Automation and Test in Europe Conference. 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. J. Yang, N. A. Touba, and B. Nadeau-Dostie. 2012. Test point insertion with control points driven by existing functional flip-flops. IEEE Trans. Comput. 61, 10 (2012), 1473--1483. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Layout Resynthesis by Applying Design-for-manufacturability Guidelines to Avoid Low-coverage Areas of a Cell-based Design

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in

          Full Access

          • Published in

            cover image ACM Transactions on Design Automation of Electronic Systems
            ACM Transactions on Design Automation of Electronic Systems  Volume 24, Issue 4
            July 2019
            258 pages
            ISSN:1084-4309
            EISSN:1557-7309
            DOI:10.1145/3326461
            • Editor:
            • Naehyuck Chang
            Issue’s Table of Contents

            Copyright © 2019 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 29 May 2019
            • Accepted: 1 March 2019
            • Revised: 1 February 2019
            • Received: 1 October 2018
            Published in todaes Volume 24, Issue 4

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article
            • Research
            • Refereed

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader

          HTML Format

          View this article in HTML Format .

          View HTML Format