skip to main content
10.1145/3400302.3415712acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Routing-free crosstalk prediction

Published:17 December 2020Publication History

ABSTRACT

Interconnect spacing is getting increasingly smaller in advanced technology nodes, which adversely increases the capacitive coupling of adjacent interconnect wires. It makes crosstalk a significant contributor to signal integrity and timing, and it is now imperative to prevent crosstalk-induced noise and delay issues in the earlier stages of VLSI design flow. Nonetheless, since the crosstalk effect depends primarily on the switching of neighboring nets, accurate crosstalk evaluation is only viable at the late stages of design flow with routing information available, e.g., after detailed routing. There have also been previous efforts in early-stage crosstalk prediction, but they mostly rely on time-expensive trial routing. In this work, we propose a machine learning-based routing-free crosstalk prediction framework. Given a placement, we identify routing and net topology-related features, along with electrical and logical features, which affect crosstalk-induced noise and delay. We then employ machine learning techniques to train the crosstalk prediction models, which can be used to identify crosstalk-critical nets in placement stages. Experimental results demonstrate that the proposed method can instantly classify more than 70% of crosstalk-critical nets after placement with a false-positive rate of less than 2%.

References

  1. Kanak Agarwal, Dennis Sylvester, and David Blaauw. 2006. Modeling and analysis of crosstalk noise in coupled RLC interconnects. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 25, 5 (2006), 892--901.Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Christoph Albrecht. 2005. IWLS 2005 benchmarks. In IEEE International Workshop for Logic Synthesis (IWLS). 9--9.Google ScholarGoogle Scholar
  3. Charles J Alpert, Anirudh Devgan, and Stephen T Quay. 1999. Buffer insertion for noise and delay optimization. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 18, 11 (1999), 1633--1645.Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Murat R Becer, David Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, and Ibrahim N Hajj. 2004. Postroute gate sizing for crosstalk noise reduction. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 23, 12 (2004), 1670--1677.Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Murat R Becer, David Blaauw, Ibrahim N Hajj, and Rajendran Panda. 2002. Early probabilistic noise estimation for capacitively coupled interconnects. In ACM/IEEE International Workshop on System-level Interconnect Prediction (SLIP). 77--83.Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Lauren Hui Chen and Malgorzata Marek-Sadowska. 2002. Incremental delay change due to crosstalk noise. In ACM International Symposium on Physical Design (ISPD). 120--125.Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Tianqi Chen and Carlos Guestrin. 2016. Xgboost: A scalable tree boosting system. In ACM International Conference on Knowledge Discovery and Data Mining (DMKD). 785--794.Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Lawrence T Clark, Vinay Vashishtha, Lucian Shifren, Aditya Gujja, Saurabh Sinha, Brian Cline, Chandarasekaran Ramamurthy, and Greg Yeric. 2016. ASAP7: A 7-nm finFET predictive process design kit. Microelectronics Journal 53 (2016), 105--115.Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Chunjie Duan, Anup Tirumala, and Sunil P Khatri. 2001. Analysis and avoidance of cross-talk in on-chip buses. In IEEE International Symposium on High Performance Interconnects (ISHPI). 133--138.Google ScholarGoogle ScholarCross RefCross Ref
  10. Fang-Yu Fan, Hung-Ming Chen, and Isabel Liu. 2010. Technology mapping with crosstalk noise avoidance. In IEEE Asia and South Pacific Design Automation Conference (ASP-DAC). 319--324.Google ScholarGoogle Scholar
  11. Matthias Fey and Jan Eric Lenssen. 2019. Fast graph representation learning with PyTorch Geometric. arXiv preprint arXiv:1903.02428 (2019).Google ScholarGoogle Scholar
  12. Will Hamilton, Zhitao Ying, and Jure Leskovec. 2017. Inductive representation learning on large graphs. In IEEE Conference and Workshop on Neural Information Processing Systems (NIPS). 1024--1034.Google ScholarGoogle Scholar
  13. Andrew B Kahng, Mulong Luo, and Siddhartha Nath. 2015. SI for free: machine learning of interconnect coupling delay and transition effects. In ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP). 1--8.Google ScholarGoogle ScholarCross RefCross Ref
  14. Taghi M Khoshgoftaar, Moiz Golawala, and Jason Van Hulse. 2007. An empirical study of learning from imbalanced data using random forest. In IEEE International Conference on Tools with Artificial Intelligence (ICTAI). 310--317.Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Martin Kuhlmann and Sachin S Sapatnekar. 2001. Exact and efficient crosstalk estimation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 20, 7 (2001), 858--866.Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Jinan Lou and Wei Chen. 2004. Crosstalk-aware placement. IEEE Design & Test of Computers 21, 1 (2004), 24--32.Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Giovanna Menardi and Nicola Torelli. 2014. Training and assessing classification rules with imbalanced data. Data Mining and Knowledge Discovery 28, 1 (2014), 92--122.Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Phiroze N Parakh and Richard B Brown. 1999. Crosstalk constrained global route embedding. In ACM Proceedings of the International Symposium on Physical Design (ISPD). 201--206.Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Adam Paszke, Sam Gross, Francisco Massa, Adam Lerer, James Bradbury, Gregory Chanan, Trevor Killeen, Zeming Lin, Natalia Gimelshein, Luca Antiga, et al. 2019. PyTorch: An imperative style, high-performance deep learning library. In IEEE Conference on Neural Information Processing Systems (NIPS). 8024--8035.Google ScholarGoogle Scholar
  20. Fabian Pedregosa, Gaël Varoquaux, Alexandre Gramfort, Vincent Michel, Bertrand Thirion, Olivier Grisel, Mathieu Blondel, Peter Prettenhofer, Ron Weiss, Vincent Dubourg, et al. 2011. Scikit-learn: Machine learning in Python. Journal of Machine Learning Research 12 (2011), 2825--2830.Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Khalid Rahmat, Jose Neves, and Jin-Fuw Lee. 1998. Methods for calculating coupling noise in early design: a comparative analysis. In IEEE/ACM International Conference on Computer Design (ICCAD). 76--81.Google ScholarGoogle ScholarCross RefCross Ref
  22. Haoxing Ren, David Pan, and Paul G. Villarubia. 2004. True crosstalk aware incremental placement with noise map. In IEEE/ACM International Conference on Computer Aided Design (ICCAD). 402--409.Google ScholarGoogle Scholar
  23. Hariharan Sankaran and Srinivas Katkoori. 2009. Simultaneous Scheduling, Allocation, Binding, Re-Ordering, and Encoding for Crosstalk Pattern Minimization During High-Level Synthesis. IEEE Transactions on Very Large Scale Integration Systems 19, 2 (2009), 217--226.Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Peter Spindler and Frank M Johannes. 2007. Fast and accurate routing demand estimation for efficient routability-driven placement. In IEEE Design, Automation & Test in Europe Conference & Exhibition (DATE). 1--6.Google ScholarGoogle Scholar
  25. Hsiao-Ping Tseng, Louis Scheffer, and Carl Sechen. 2001. Timing-and crosstalk-driven area routing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 20, 4 (2001), 528--544.Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Petar Veličković, Guillem Cucurull, Arantxa Casanova, Adriana Romero, Pietro Lio, and Yoshua Bengio. 2017. Graph attention networks. arXiv preprint arXiv:1710.10903 (2017).Google ScholarGoogle Scholar
  27. Ashok Vittal and Malgorzata Marek-Sadowska. 1997. Crosstalk reduction for VLSI. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 16, 3 (1997), 290--298.Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Di Wu, Jiang Hu, Rabi Mahapatra, and Min Zhao. 2004. Layer assignment for crosstalk risk minimization. In IEEE Asia and South Pacific Design Automation Conference (ASP-DAC). 159--162.Google ScholarGoogle Scholar
  29. Zhiyao Xie, Yu-Hung Huang, Guan-Qi Fang, Haoxing Ren, Shao-Yun Fang, Yiran Chen, and Jiang Hu. 2018. RouteNet: Routability prediction for mixed-size designs using convolutional neural network. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 1--8.Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Hai Zhou and Martin D F Wong. 1999. Global routing with crosstalk constraints. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 18, 11 (1999), 1683--1688.Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Routing-free crosstalk prediction

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ICCAD '20: Proceedings of the 39th International Conference on Computer-Aided Design
        November 2020
        1396 pages
        ISBN:9781450380263
        DOI:10.1145/3400302
        • General Chair:
        • Yuan Xie

        Copyright © 2020 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 17 December 2020

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate457of1,762submissions,26%

        Upcoming Conference

        ICCAD '24
        IEEE/ACM International Conference on Computer-Aided Design
        October 27 - 31, 2024
        New York , NY , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader