Abstract
Single flux quantum (SFQ) logic is a promising technology to replace complementary metal-oxide-semiconductor logic for future exa-scale supercomputing but requires the development of reliable EDA tools that are tailored to the unique characteristics of SFQ circuits, including the need for active splitters to support fanout and clocked logic gates. This article is the first work to present a physical design methodology for inserting hold buffers in SFQ circuits. Our approach is variation-aware, uses common path pessimism removal and incremental placement to minimize the overhead of timing fixes, and can trade off layout area and timing yield. Compared to a previously proposed approach using fixed hold time margins, Monte Carlo simulations show that, averaging across 10 ISCAS’85 benchmark circuits, our proposed method can reduce the number of inserted hold buffers by 8.4% with a 6.2% improvement in timing yield and by 21.9% with a 1.7% improvement in timing yield.
- 2019. IBM ILOG CPLEX 12.10. Retrieved from http://www.ilog.com/products/cplex/.Google Scholar
- D. Bryan. 1985. The ISCAS’85 benchmark circuits and netlist format. In Proceedings of the Technischer Bericht, Microelectronics Center ofNorth Carolina (MCNC). https://davidkebo.com/documents/iscas85.pdf.Google Scholar
- P. Bunyk, K. Likharev, and D. Zinoviev. 2001. RSFQ technology: Physics and devices. Int. J. High Speed Electron. Syst. 11 (03 2001). https://doi.org/10.1142/S012915640100085XGoogle Scholar
- P. Cunningham, M. Swinnen, and Steev Wilcox. 2009. Clock concurrent optimization rethinking timing optimizationto target clocks and logic at the same time. Azuro Inc.Google Scholar
- C. J. Fourie and M. H. Volkmann. 2013. Status of superconductor electronic circuit design software. IEEE Trans. Appl. Supercond. 23, 3 (2013), 1300205–1300205.Google ScholarCross Ref
- Kris Gaj, Eby G. Friedman, and Marc J. Feldman. 1997. Timing of multi-gigahertz rapid single flux quantum digital circuits. J. VLSI Sign. Process. Syst. Sign. Image Vid. Technol. 16 (1997), 247–276.Google ScholarDigital Library
- Kris Gaj, Eby G. Friedman, and Marc J. Feldman. 1997. Timing of Multi-Gigahertz rapid single flux quantum digital circuits. Journal of Vlsi Signal Processing Systems for Signal, Image and Video Technology 16, 2 (1997), 247–276. https://doi.org/10.1023/A:1007903527533Google ScholarDigital Library
- V. Garg. 2014. Common path pessimism removal: An industry perspective: Special Session: Common Path Pessimism Removal. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design.592–595. Google ScholarDigital Library
- Erik W. Grafarend. 2006. Linear and Nonlinear Models: Fixed Effects, Random Effects, and Mixed Models. Walter de Gruyter. 553 pages.Google Scholar
- K. Han, A. B. Kahng, and J. Li. 2020. Optimal generalized h-tree topology and buffering for high-performance and low-power clock distribution. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 39, 2 (2020), 478–491.Google ScholarCross Ref
- D. S. Holmes, A. L. Ripple, and M. A. Manheimer. 2013. Energy-efficient superconducting computing power budgets and requirements. IEEE Trans. Appl. Supercond. 23, 3 (2013), 1701610–1701610.Google ScholarCross Ref
- S. Huang, G. Jhuo, and W. Huang. 2010. Minimum buffer insertions for clock period minimization. In Proceedings of the 2010 International Symposium on Computer, Communication, Control and Automation (3CA’10), Vol. 1. 426–429. DOI:https://doi.org/10.1109/3CA.2010.5533776Google Scholar
- T. Huang, P. Wu, and M. D. F. Wong. 2014. Fast path-based timing analysis for CPPR. In Proceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD’14). 596–599. DOI:https://doi.org/10.1109/ICCAD.2014.7001413 Google ScholarDigital Library
- T. Huang, P. Wu, and M. D. F. Wong. 2014. UI-Timer: An ultra-fast clock network pessimism removal algorithm. In Proceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD’14). 758–765. DOI:https://doi.org/10.1109/ICCAD.2014.7001436 Google ScholarDigital Library
- K. Hubert. 2008. Digital Integrated Circuit Design: From VLSI Architectures to CMOS Fabrication. Cambridge University Press. Google ScholarDigital Library
- Inhak Han, Daijoon Hyun, and Youngsoo Shin. 2016. Buffer insertion to remove hold violations at multiple process corners. In Proceedings of the 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC’16). 232–237. DOI:https://doi.org/10.1109/ASPDAC.2016.7428016Google Scholar
- Michael A. B. Jackson, Arvind Srinivasan, and E. S. Kuh. 1990. Clock routing for high performance ICs. In Proceedings of the ACM/IEEE Design Automation Conference. 573–579. Google ScholarDigital Library
- K. K. Likharev and V. K. Semenov. 1991. RSFQ logic/memory family: A new Josephson-junction technology for sub-terahertz-clock-frequency digital systems. IEEE Trans. Appl. Supercond. 1, 1 (1991), 3–28.Google ScholarCross Ref
- M. A. Bender and M. F. Colton. 2000. The LCA problem revisited. In Proceedings of the 4th Latin American Symposium on Theoretical Informatics, LNCS, Vol. 1776, 88–94. DOI:https://doi.org/10.1007/10719839_9 Google ScholarDigital Library
- O. A. Mukhanov. 2011. Energy-efficient single flux quantum technology. IEEE Trans. Appl. Supercond. 21, 3 (2011), 760–769.Google ScholarCross Ref
- L. C. Müller, H. R. Gerber, and C. J. Fourie. 2008. Review and comparison of RSFQ asynchronous methodologies. J. Phys. Conf. Ser. 97 (Feb. 2008), 12109. https://iopscience.iop.org/article/10.1088/1742-6596/97/1/012109/pdf.Google ScholarCross Ref
- Pei-Ci Wu, M. D. F. Wong, I. Nedelchev, S. Bhardwaj, and V. Parkhe. 2014. On timing closure: Buffer insertion for hold-violation removal. In Proceedings of the 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC’14). 1–6. DOI:https://doi.org/10.1145/2593069.2593171 Google ScholarDigital Library
- Daniel A. Reed and Jack Dongarra. 2015. Exascale computing and big data. Commun. ACM 58, 7 (Jun. 2015), 56–68. DOI:https://doi.org/10.1145/2699414 Google ScholarDigital Library
- S. N. Shahsavani and M. Pedram. 2019. A minimum-skew clock tree synthesis algorithm for single flux quantum logic circuits. IEEE Trans. Appl. Supercond. 29, 8 (2019), 1–13.Google ScholarCross Ref
- Soheil Nazar Shahsavani, Bo Zhang, and Massoud Pedram. 2020. A timing uncertainty-aware clock tree topology generation algorithm for single flux quantum circuits. In Proceedings of the Design, Automation and Test in Europe Conference (DATE’20). 278–281. Google ScholarDigital Library
- Michael Shell. 2020. ABC: A System for Sequential Synthesis and Verification. Retrieved from DOI:https://people.eecs.berkeley.edu/ alanmi/abc/.Google Scholar
- N. V. Shenoy, R. K. Brayton, and A. L. Sangiovanni-Vincentelli. 1993. Minimum padding to satisfy short path constraints. In Proceedings of the 1993 International Conference on Computer Aided Design (ICCAD’93). 156–161. DOI:https://doi.org/10.1109/ICCAD.1993.580048 Google ScholarDigital Library
- R. N. Tadros and P. A. Beerel. 2020. Optimizing (HC)LC, A robust clock distribution network for SFQ circuits. IEEE Trans. Appl. Supercond. 30, 1 (2020), 1–11.Google ScholarCross Ref
- R. N. Tadros, A. Fayyazi, M. Pedram, and P. A. Beerel. 2020. Systemverilog modeling of SFQ and AQFP circuits. IEEE Trans. Appl. Supercond. 30, 2 (2020), 1–13.Google ScholarCross Ref
- S. K. Tolpygo, V. Bolkhovsky, D. E. Oates, R. Rastogi, S. Zarr, A. L. Day, T. J. Weir, A. Wynn, and L. M. Johnson. 2018. Superconductor electronics fabrication process with MoNx kinetic inductors and self-shunted josephson junctions. IEEE Trans. Appl. Supercond. 28, 4 (2018), 1–12.Google ScholarCross Ref
- I. V. Vernik, Q. P. Herr, K. Gaij, and M. J. Feldman. 1999. Experimental investigation of local timing parameter variations in RSFQ circuits. IEEE Trans. Appl. Supercond. 9, 2 (1999), 4341–4344.Google ScholarCross Ref
- T. Xiao, H. Bagga, G. J. Chen, R. Cheung, and R. Pattipati. 2011. Path aware event scheduler in HoldAdvisor for fixing min timing violations. In Proceedings of the IEEE 29th International Conference on Computer Design (ICCD’11). 71–77. DOI:https://doi.org/10.1109/ICCD.2011.6081378 Google ScholarDigital Library
- J. Xiong, V. Zolotov, and L. He. 2007. Robust extraction of spatial correlation. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 26, 4 (2007), 619–631. Google ScholarDigital Library
- J. Zejda and P. Frain. 2002. General framework for removal of clock network pessimism. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design.632–639. Google ScholarDigital Library
- B. Zhang and M. Pedram. 2020. qSTA: A static timing analysis tool for superconducting single-flux-quantum circuits. IEEE Trans. Appl. Supercond. 30, 5 (2020), 1–9.Google ScholarCross Ref
Index Terms
- A Variation-aware Hold Time Fixing Methodology for Single Flux Quantum Logic Circuits
Recommendations
Clock-Tree Aware Multibit Flip-Flop Generation During Placement for Power Optimization
Utilizing multibit flip-flops (MBFFs) is one of the most effective power optimization techniques in modern nanometer integrated circuit design. Most of the previous works apply MBFFs without doing placement refinement of combinational logic cells. Such ...
A Novel Clock Tree Aware Placement Methodology for Single Flux Quantum (SFQ) Logic Circuits
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD)In a single-flux-quantum (SFQ) circuit, almost all cells need to receive the clock signal which incurs a high clock routing overhead. Besides, the clock tree of an SFQ circuit requires the insertion of a clock splitter cell at every tree branching point ...
A timing uncertainty-aware clock tree topology generation algorithm for single flux quantum circuits
DATE '20: Proceedings of the 23rd Conference on Design, Automation and Test in EuropeThis paper presents a low-cost, timing uncertainty-aware synchronous clock tree topology generation algorithm for single flux quantum (SFQ) logic circuits. The proposed method considers the criticality of the data paths in terms of timing slacks as well ...
Comments