skip to main content
research-article
Open Access

A Design Methodology for Energy-Aware Processing in Unmanned Aerial Vehicles

Authors Info & Claims
Published:13 September 2021Publication History
Skip Abstract Section

Abstract

Unmanned Aerial Vehicles (UAVs) have rapidly become popular for monitoring, delivery, and actuation in many application domains such as environmental management, disaster mitigation, homeland security, energy, transportation, and manufacturing. However, the UAV perception and navigation intelligence (PNI) designs are still in their infancy and demand fundamental performance and energy optimizations to be eligible for mass adoption. In this article, we present a generalizable three-stage optimization framework for PNI systems that (i) abstracts the high-level programs representing the perception, mining, processing, and decision making of UAVs into complex weighted networks tracking the interdependencies between universal low-level intermediate representations; (ii) exploits a differential geometry approach to schedule and map the discovered PNI tasks onto an underlying manycore architecture. To mine the complexity of optimal parallelization of perception and decision modules in UAVs, this proposed design methodology relies on an Ollivier-Ricci curvature-based load-balancing strategy that detects the parallel communities of the PNI applications for maximum parallel execution, while minimizing the inter-core communication; and (iii) relies on an energy-aware mapping scheme to minimize the energy dissipation when assigning the communities onto tile-based networks-on-chip. We validate this approach based on various drone PNI designs including flight controller, path planning, and visual navigation. The experimental results confirm that the proposed framework achieves 23% flight time reduction and up to 34% energy savings for the flight controller application. In addition, the optimization on a 16-core platform improves the on-time visit rate of the path planning algorithm by 14% while reducing 81% of run time for ConvNet visual navigation.

References

  1. S. Kumar, A. Jantsch, J.-P. Soininen, M. Forsell, M. Millberg, J. Oberg, K. Tiensyrja, and A. Hemani. 2002. A network on chip architecture and design methodology. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI. IEEE Computer Society, 117. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Niket Agarwal, Tushar Krishna, Li-Shiuan Peh, and Niraj K. Jha. 2009. GARNET: A detailed on-chip network model inside a full-system simulator. In 2009 IEEE International Symposium on Performance Analysis of Systems and Software. IEEE, 33–42.Google ScholarGoogle Scholar
  3. Stephen Armah, Sun Yi, Wonchang Choi, and Dongchui Shin. 2016. Feedback control of quad-rotors with a matlab-based simulator. American Journal of Applied Sciences 13, 6 (2016), 779–793.Google ScholarGoogle ScholarCross RefCross Ref
  4. Serhiy Avramenko, Stefano Esposito, Massimo Violante, Marco Sozzi, Massimo Traversone, Marco Binello, and Marco Terrone. 2015. An hybrid architecture for consolidating mixed criticality applications on multicore systems. In Proceedings of the 2015 IEEE 21st International On-Line Testing Symposium. IEEE, 26–29.Google ScholarGoogle ScholarCross RefCross Ref
  5. Nathan Binkert, Bradford Beckmann, Gabriel Black, Steven K. Reinhardt, Ali Saidi, Arkaprava Basu, Joel Hestness, Derek R. Hower, Tushar Krishna, Somayeh Sardashti, Rathijit Sen, Korey Sewell, Muhammad Shoaib, Nilay Vaish, Mark D. Hill, and David A. Wood. 2011. The Gem5 simulator. ACM SIGARCH Computer Architecture News 39, 2 (2011), 1–7. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Paul Bogdan. 2015. Mathematical modeling and control of multifractal workloads for data-center-on-a-chip optimization. In Proceedings of the 9th International Symposium on Networks-on-Chip. ACM, 21. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Paul Bogdan and Yuankun Xue. 2015. Mathematical models and control algorithms for dynamic optimization of multicore platforms: A complex dynamics approach. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. IEEE, 170–175. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Jinchao Chen, Chenglie Du, Fei Xie, and Bin Lin. 2018. Scheduling non-preemptive tasks with strict periods in multi-core real-time systems. Journal of Systems Architecture 90, 1 (2018), 72–84.Google ScholarGoogle ScholarCross RefCross Ref
  9. Peter Corke. 2017. Flying Robots Book: Robotics, Vision and Control. Springer.Google ScholarGoogle Scholar
  10. William J. Dally and Brian Towles. 2001. Route packets, not wires: On-chip inteconnection networks. In Proceedings of the 38th Annual Design Automation Conference. ACM, 684–689. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Emad Ebeid, Martin Skriver, Kristian Husum Terkildsen, Kjeld Jensen, and Ulrik Pagh Schultz. 2018. A survey of open-source UAV flight controllers and flight simulators. Microprocessors and Microsystems 61, 1 (2018), 11–20.Google ScholarGoogle ScholarCross RefCross Ref
  12. Stefano Esposito and Massimo Violante. 2017. On the consolidation of mixed criticalities applications on multicore architectures. Journal of Electronic Testing 33, 1 (2017), 65–76. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Santo Fortunato. 2010. Community detection in graphs. Physics Reports 486, 3–5 (2010), 75–174.Google ScholarGoogle ScholarCross RefCross Ref
  14. Jacob Goldberger and Tamir Tassa. 2008. A hierarchical clustering algorithm based on the Hungarian method. Pattern Recognition Letters 29, 11 (2008), 1632–1638. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. G. Guindani and F. G. Moraes. 2013. Achieving QoS in NoC-based MPSoCs through dynamic frequency scaling. In Proceedings of the 2013 International Symposium on System on Chip. 1–6. DOI:https://doi.org/10.1109/ISSoC.2013.6675275Google ScholarGoogle Scholar
  16. K. He, X. Zhang, S. Ren, and J. Sun. 2016. Deep residual learning for image recognition. In Proceedings of the 2016 IEEE Conference on Computer Vision and Pattern Recognition. 770–778.Google ScholarGoogle Scholar
  17. Karla L. Hoffman, Manfred Padberg, and Giovanni Rinaldi. 2013. Traveling salesman problem. In Encyclopedia of Operations Research and Management Science. S. I. Gass and M. C. Fu (Eds.), Springer, Boston, MA, 1573–1578. DOI:https://doi.org/10.1007/978-1-4419-1153-7_1068Google ScholarGoogle Scholar
  18. Gabriel Hoffmann, Haomiao Huang, Steven Waslander, and Claire Tomlin. 2007. Quadrotor helicopter flight dynamics and control: Theory and experiment. In Proceedings of the AIAA Guidance, Navigation and Control Conference and Exhibit. 6461.Google ScholarGoogle ScholarCross RefCross Ref
  19. Jingcao Hu and Radu Marculescu. 2003. Exploiting the routing flexibility for energy/performance aware mapping of reular NoC architectures. In Proceedings of the 2003 Design, Automation and Test in Europe Conference and Exhibition. IEEE, 688–693. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Chris Lattner and Vikram Adve. 2004. LLVM: A compilation framework for lifelong program analysis & transformation. In Proceedings of the International Symposium on Code Generation and Optimization: Feedback-Directed and Runtime Optimization. IEEE Computer Society, 75. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Sheng Li, Jung Ho Ahn, Richard D. Strong, Jay B. Brockman, Dean M. Tullsen, and Norman P. Jouppi. 2009. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture. ACM, 469–480. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Wuchen Li, Ernest Ryu, Stanley Osher, Wotao Yin, and Wilfrid Gangbo. 2018. A parallel method for Earth mover’s distance. Journal of Scientific Computing 75, 1 (2018), 182–197. DOI:https://doi.org/10.1007/s10915-017-0529-1 Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Antonio Loquercio, Ana I. Maqueda, Carlos R. Del-Blanco, and Davide Scaramuzza. 2018. Dronet: Learning to fly by driving. IEEE Robotics and Automation Letters 3, 2 (2018), 1088–1095.Google ScholarGoogle ScholarCross RefCross Ref
  24. R. Marculescu and P. Bogdan. 2009. The chip is the network: Toward a science of network-on-chip design. Foundations and Trends in Electronic Design Automation 2, 4 (2009), 371-461. DOI:https://doi.org/10.1561/1000000011Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Radu Marculescu, Umit Y. Ogras, Li Shiuan Peh, Natalie Enright Jerger, and Yatin Hoskote. 2009. Outstanding research problems in NoC design: System, microarchitecture, and circuit perspectives. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 28, 1 (Jan. 2009), 3–21. DOI:https://doi.org/10.1109/TCAD.2008.2010691 Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Mark E. J. Newman. 2003. The structure and function of complex networks. SIAM Review 45, 2 (2003), 167–256.Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Jan Nowotsch, Michael Paulitsch, Daniel Bühler, Henrik Theiling, Simon Wegener, and Michael Schmidt. 2014. Multi-core interference-sensitive WCET analysis leveraging runtime resource capacity enforcement. In Proceedings of the 2014 26th Euromicro Conference on Real-Time Systems. IEEE, 109–118. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Jan Nowotsch, Michael Paulitsch, Arne Henrichsen, Werner Pongratz, and Andreas Schacht. 2014. Monitoring and WCET analysis in COTS multi-core-SoC-based mixed-criticality systems. In Proceedings of the 2014 Design, Automation & Test in Europe Conference & Exhibition. IEEE, 1–5. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Daniele Palossi, Michele Furci, Roberto Naldi, Andrea Marongiu, Lorenzo Marconi, and Luca Benini. 2016. An energy-efficient parallel algorithm for real-time near-optimal UAV path planning. In Proceedings of the ACM International Conference on Computing Frontiers. ACM, New York, NY, 392–397. DOI:https://doi.org/10.1145/2903150.2911712 Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Sujit Rajappa, Markus Ryll, Heinrich H. Bülthoff, and Antonio Franchi. 2015. Modeling, control and design optimization for a fully-actuated hexarotor aerial vehicle with tilted propellers. In Proceedings of the 2015 IEEE International Conference on Robotics and Automation. IEEE, 4006–4013.Google ScholarGoogle ScholarCross RefCross Ref
  31. Gerhard Reinelt. 1991. TSPLIB—A traveling salesman problem library. INFORMS Journal on Computing 3, 4 (Nov. 1991), 376–384. DOI:https://doi.org/10.1287/ijoc.3.4.376Google ScholarGoogle ScholarCross RefCross Ref
  32. Mario Ruiz Estrada. 2020. The Uses of Drones in Case of Massive Epidemics Contagious Diseases Relief Humanitarian Aid: Wuhan-COVID-19 Crisis. Retrieved February 29, 2020 from https://doi.org/10.2139/ssrn.3546547Google ScholarGoogle ScholarCross RefCross Ref
  33. Ali Sharif Razavian, Hossein Azizpour, Josephine Sullivan, and Stefan Carlsson. 2014. CNN features off-the-shelf: An astounding baseline for recognition. In Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition Workshops. 806–813. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Jayson Sia, Edmond Jonckheere, and Paul Bogdan. 2019. Ollivier-Ricci curvature-based method to community detection in complex networks. Scientific Reports 9, 1 (2019), 9800.Google ScholarGoogle ScholarCross RefCross Ref
  35. Cheng Tan, Aditi Kulkarni, Vanchinathan Venkataramani, Manupa Karunaratne, Tulika Mitra, and Li-Shiuan Peh. 2018. LOCUS: Low-power customizable many-core architecture for wearables. ACM Transactions on Embedded Computing Systems 17, 1 (2018), 16. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Umair Ullah Tariq, Hui Wu, and Suhaimi Abd Ishak. 2018. Energy-aware scheduling of conditional task graphs on noc-based mpsocs. In Proceedings of the 51st Hawaii International Conference on System Sciences.Google ScholarGoogle ScholarCross RefCross Ref
  37. David Ullman, Vincent Homer, and Patrick Horgan. 2017. Comparing Electric Sky Taxi Visions. DOI:https://doi.org/10.13140/RG.2.2.14819.50729Google ScholarGoogle Scholar
  38. Chi Wang, Edmond Jonckheere, and Reza Banirazi. 2014. Wireless network capacity versus Ollivier-Ricci curvature under heat-diffusion (HD) protocol. In Proceedings of the American Control Conference, 3536–3541. DOI:https://doi.org/10.1109/ACC.2014.6858912Google ScholarGoogle ScholarCross RefCross Ref
  39. Yao Xiao, Yuankun Xue, Shahin Nazarian, and Paul Bogdan. 2017. A load balancing inspired optimization framework for exascale multicore systems: A complex networks approach. In Proceedings of the 36th International Conference on Computer-Aided Design. IEEE, 217–224. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. Terry Tao Ye, Luca Benini, and Giovanni De Micheli. 2002. Analysis of power consumption on switch fabrics in network routers. In Proceedings of the 39th Annual Design Automation Conference. IEEE, 524–529. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. A Design Methodology for Energy-Aware Processing in Unmanned Aerial Vehicles

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in

          Full Access

          • Published in

            cover image ACM Transactions on Design Automation of Electronic Systems
            ACM Transactions on Design Automation of Electronic Systems  Volume 27, Issue 1
            January 2022
            230 pages
            ISSN:1084-4309
            EISSN:1557-7309
            DOI:10.1145/3483335
            Issue’s Table of Contents

            Copyright © 2021 Association for Computing Machinery.

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 13 September 2021
            • Accepted: 1 May 2021
            • Revised: 1 August 2020
            • Received: 1 January 2020
            Published in todaes Volume 27, Issue 1

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article
            • Refereed

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader

          HTML Format

          View this article in HTML Format .

          View HTML Format