skip to main content
10.1145/3489517.3530597acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

A timing engine inspired graph neural network model for pre-routing slack prediction

Published:23 August 2022Publication History

ABSTRACT

Fast and accurate pre-routing timing prediction is essential for timing-driven placement since repetitive routing and static timing analysis (STA) iterations are expensive and unacceptable. Prior work on timing prediction aims at estimating net delay and slew, lacking the ability to model global timing metrics. In this work, we present a timing engine inspired graph neural network (GNN) to predict arrival time and slack at timing endpoints. We further leverage edge delays as local auxiliary tasks to facilitate model training with increased model performance. Experimental results on real-world open-source designs demonstrate improved model accuracy and explainability when compared with vanilla deep GNN models.

References

  1. 2021. OpenCores. https://opencores.org/Google ScholarGoogle Scholar
  2. 2021. SkyWater. https://github.com/google/skywater-pdkGoogle ScholarGoogle Scholar
  3. Tutu Ajayi, Vidya A. Chhabria, Mateus Fogaça, et al. 2019. Toward an Open-Source Digital Flow: First Learnings from the OpenROAD Project. In Proc. DAC (DAC '19). Association for Computing Machinery, New York, NY, USA, Article 76, 4 pages. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. C.J. Alpert, Jiang Hu, S.S. Sapatnekar, et al. 2004. Accurate estimation of global buffer delay within a floorplan. In Proc. ICCAD. 706--711. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Erick Carvajal Barboza, Nishchal Shukla, Yiran Chen, et al. 2019. Machine Learning-Based Pre-Routing Timing Prediction with Reduced Pessimism. In Proc. DAC. 1--6.Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Wei-Ting J. Chan, Kun Young Chung, Andrew B. Kahng, et al. 2016. Learning-based prediction of embedded memory timing failures during initial floorplan design. In Proc. ASPDAC. 178--185. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Hongliang Chang and S.S. Sapatnekar. 2003. Statistical timing analysis considering spatial correlations using a single PERT-like traversal. In Proc. ICCAD. 621--625. Google ScholarGoogle ScholarCross RefCross Ref
  8. Ming Chen, Zhewei Wei, Zengfeng Huang, et al. 2020. Simple and deep graph convolutional networks. In Proc. ICML. PMLR, 1725--1735.Google ScholarGoogle Scholar
  9. Tianlong Chen, Kaixiong Zhou, Keyu Duan, et al. 2021. Bag of Tricks for Training Deeper Graph Neural Networks: A Comprehensive Benchmark Study. CoRR (2021). arXiv:2108.10521 https://arxiv.org/abs/2108.10521Google ScholarGoogle Scholar
  10. Tung-Chieh Chen, Tien-Chang Hsu, Zhe-Wei Jiang, et al. 2005. NTUplace: a ratio partitioning based placement algorithm for large-scale mixed-size designs. In Proc. ISPD. 236--238.Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Chung-Kuan Cheng, Andrew B Kahng, Ilgweon Kang, et al. 2018. RePlAce: Advancing Solution Quality and Routability Validation in Global Placement. IEEE TCAD (2018).Google ScholarGoogle Scholar
  12. Seung-Soo Han, Andrew B. Kahng, Siddhartha Nath, et al. 2014. A deep learning methodology to proliferate golden signoff timing. In Proc. DATE. 1--6. Google ScholarGoogle ScholarCross RefCross Ref
  13. Thomas N. Kipf and Max Welling. 2016. Semi-Supervised Classification with Graph Convolutional Networks. CoRR abs/1609.02907 (2016). arXiv:1609.02907 http://arxiv.org/abs/1609.02907Google ScholarGoogle Scholar
  14. Qimai Li, Zhichao Han, and Xiao-Ming Wu. 2018. Deeper Insights Into Graph Convolutional Networks for Semi-Supervised Learning. In Proceedings of the Thirty-Second AAAI Conference on Artificial Intelligence. 3538--3545.Google ScholarGoogle ScholarCross RefCross Ref
  15. Yaguang Li, Yishuang Lin, Meghna Madhusudan, et al. 2020. A Customized Graph Neural Network Model for Guiding Analog IC Placement. In Proc. ICCAD. 1--9.Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Rongjian Liang, Zhiyao Xie, Jinwook Jung, et al. 2020. Routing-Free Crosstalk Prediction. In Proc. ICCAD. 1--9.Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Yibo Lin, Zixuan Jiang, Jiaqi Gu, et al. 2020. DREAMPlace: Deep Learning Toolkit-Enabled GPU Acceleration for Modern VLSI Placement. IEEE TCAD (2020).Google ScholarGoogle Scholar
  18. Mingjie Liu, Walker J. Turner, George F. Kokai, et al. 2021. Parasitic-Aware Analog Circuit Sizing with Graph Neural Networks and Bayesian Optimization. In Proc. DATE. 1372--1377. Google ScholarGoogle ScholarCross RefCross Ref
  19. Jingwei Lu, Pengwen Chen, Chin-Chih Chang, et al. 2015. ePlace: Electrostatics-based placement using fast fourier transform and Nesterov's method. ACM TODAES 20, 2 (2015), 17.Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Yi-Chen Lu, Sai Surya Kiran Pentapati, Lingjun Zhu, et al. 2020. TP-GNN: A Graph Neural Network Framework for Tier Partitioning in Monolithic 3D ICs. In Proc. DAC. 1--6. Google ScholarGoogle ScholarCross RefCross Ref
  21. Azalia Mirhoseini, Anna Goldie, Mustafa Yazgan, et al. 2021. A graph placement methodology for fast chip design. Nature 594, 7862 (Jun 2021), 207--212. Google ScholarGoogle ScholarCross RefCross Ref
  22. Joelle Pineau, Philippe Vincent-Lamarre, Koustuv Sinha, et al. 2021. Improving Re-producibility in Machine Learning Research(A Report from the NeurIPS 2019 Re-producibility Program). Journal of Machine Learning Research 22, 164 (2021), 1--20. http://jmlr.org/papers/v22/20-303.htmlGoogle ScholarGoogle Scholar
  23. Haoxing Ren, George F. Kokai, Walker J. Turner, et al. 2020. ParaGraph: Layout Parasitics and Device Parameter Prediction using Graph Neural Networks. In Proc. DAC. 1--6. Google ScholarGoogle ScholarCross RefCross Ref
  24. Miodrag Vujkovic, David Wadkins, Bill Swartz, et al. 2004. Efficient Timing Closure without Timing Driven Placement and Routing. In Proc. DAC. 268--273. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Hanrui Wang, Kuan Wang, Jiacheng Yang, et al. 2020. GCN-RL Circuit Designer: Transferable Transistor Sizing with Graph Neural Networks and Reinforcement Learning. In Proc. DAC. Article 201, 6 pages.Google ScholarGoogle ScholarCross RefCross Ref
  26. Minjie Wang, Da Zheng, Zihao Ye, et al. 2019. Deep Graph Library: A Graph-Centric, Highly-Performant Package for Graph Neural Networks. arXiv preprint arXiv:1909.01315 (2019).Google ScholarGoogle Scholar
  27. Zhiyao Xie, Rongjian Liang, Xiaoqing Xu, et al. 2021. Net2: A Graph Attention Network Method Customized for Pre-Placement Net Length Estimation. In Proc. ASPDAC. 671--677.Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Keren Zhu, Mingjie Liu, Hao Chen, et al. 2020. Exploring Logic Optimizations with Reinforcement Learning and Graph Convolutional Network. In Proceedings of the 2020 ACM/IEEE Workshop on Machine Learning for CAD. 145--150. Google ScholarGoogle ScholarDigital LibraryDigital Library

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Conferences
    DAC '22: Proceedings of the 59th ACM/IEEE Design Automation Conference
    July 2022
    1462 pages
    ISBN:9781450391429
    DOI:10.1145/3489517

    Copyright © 2022 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 23 August 2022

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article

    Acceptance Rates

    Overall Acceptance Rate1,770of5,499submissions,32%

    Upcoming Conference

    DAC '24
    61st ACM/IEEE Design Automation Conference
    June 23 - 27, 2024
    San Francisco , CA , USA

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader