skip to main content
10.1145/3489517.3530628acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

The SODA approach: leveraging high-level synthesis for hardware/software co-design and hardware specialization: invited

Published:23 August 2022Publication History

ABSTRACT

Novel "converged" applications combine phases of scientific simulation with data analysis and machine learning. Each computational phase can benefit from specialized accelerators. However, algorithms evolve so quickly that mapping them on existing accelerators is suboptimal or even impossible. This paper presents the SODA (Software Defined Accelerators) framework, a modular, multi-level, open-source, no-human-in-the-loop, hardware synthesizer that enables end-to-end generation of specialized accelerators. SODA is composed of SODA-Opt, a high-level frontend developed in MLIR that interfaces with domain-specific programming frameworks and allows performing system level design, and Bambu, a state-of-the-art high-level synthesis engine that can target different device technologies. The framework implements design space exploration as compiler optimization passes. We show how the modular, yet tight, integration of the high-level optimizer and lower-level HLS tools enables the generation of accelerators optimized for the computational patterns of converged applications. We then discuss some of the research opportunities that such a framework allows, including system-level design, profile driven optimization, and supporting new optimization metrics.

References

  1. V. G. Castellana, A. Tumeo, and F. Ferrandi. 2021. High-Level Synthesis of Parallel Specifications Coupling Static and Dynamic Controllers. In IPDPS '21: IEEE International Parallel and Distributed Processing Symposium. 192--202.Google ScholarGoogle Scholar
  2. S. Curzel, N. Bohm Agostini, S. Song, I. Dagli, A. Limaye, M. Minutoli, V. G. Castellana, V. Amatya, J. Manzano, A. Das, F. Ferrandi, and A. Tumeo. 2021. Automated Generation of Integrated Digital and Spiking Neuromorphic Machine Learning Accelerators. In ICCAD: International Conference On Computer Aided Design. 1--7.Google ScholarGoogle Scholar
  3. F. Ferrandi, V. G. Castellana, S. Curzel, P. Fezzardi, M. Fiorito, M. Lattuada, M. Minutoli, C. Pilato, and A. Tumeo. 2021. Bambu: an Open-Source Research Framework for the High-Level Synthesis of Complex Applications. In DAC: 58th Design Automation Conference. 1327--1330.Google ScholarGoogle Scholar
  4. S. Govindarajan and W. S. Moses. 2020. SyFER-MLIR: Integrating Fully Homo-morphic Encryption Into the MLIR Compiler Framework. https://math.mit.edu/research/highschool/primes/materials/2020/Govindarajan-Moses.pdfGoogle ScholarGoogle Scholar
  5. C. Lattner, M. Amini, U. Bondhugula, A. Cohen, A. Davis, J. Pienaar, R. Riddle, T. Shpeisman, N. Vasilache, and O. Zinenko. 2021. MLIR: Scaling Compiler Infrastructure for Domain Specific Computation. In CGO: International Symposium on Code Generation and Optimization. 2--14.Google ScholarGoogle Scholar
  6. P. Mantovani, D. Giri, G. Di Guglielmo, L. Piccolboni, J. Zuckerman, E. G. Cota, M. Petracca, C. Pilato, and L. P. Carloni. 2020. Agile SoC development with open ESP. In 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD). IEEE, 1--9.Google ScholarGoogle Scholar
  7. M. Minutoli, V. Castellana, N. Saporetti, S. Devecchi, M. Lattuada, P. Fezzardi, A. Tumeo, and F. Ferrandi. 2021. Svelto: High-Level Synthesis of Multi-Threaded Accelerators for Graph Analytics. IEEE Trans. Comput. 01 (2021), 1--14.Google ScholarGoogle Scholar
  8. M. Minutoli, V. G. Castellana, A. Tumeo, and F. Ferrandi. 2015. Inter-procedural resource sharing in High Level Synthesis through function proxies. In FPL 2015: 25th International Conference on Field Programmable Logic and Applications. 1--8.Google ScholarGoogle Scholar
  9. A. Tumeo. 2017. Architecture independent integrated early performance and energy estimation. In IGSC '17: Eighth International Green and Sustainable Computing Conference. 1--6.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. The SODA approach: leveraging high-level synthesis for hardware/software co-design and hardware specialization: invited

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      DAC '22: Proceedings of the 59th ACM/IEEE Design Automation Conference
      July 2022
      1462 pages
      ISBN:9781450391429
      DOI:10.1145/3489517

      Copyright © 2022 ACM

      © 2022 Association for Computing Machinery. ACM acknowledges that this contribution was authored or co-authored by an employee, contractor or affiliate of the United States government. As such, the United States Government retains a nonexclusive, royalty-free right to publish or reproduce this article, or to allow others to do so, for Government purposes only.

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 23 August 2022

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

      Upcoming Conference

      DAC '24
      61st ACM/IEEE Design Automation Conference
      June 23 - 27, 2024
      San Francisco , CA , USA
    • Article Metrics

      • Downloads (Last 12 months)82
      • Downloads (Last 6 weeks)5

      Other Metrics

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader