skip to main content
10.1145/360128.360153acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
Article
Free Access

Memory hierarchy reconfiguration for energy and performance in general-purpose processor architectures

Authors Info & Claims
Published:01 December 2000Publication History
First page image
Skip Supplemental Material Section

Supplemental Material

References

  1. 1.V. Agarwal, M. Hrishikesh, S. Keckler, and D. Burger. Clock rate versus IPC: The end of the road for conventional microarchitectures. Proceedings of the 27th International Symposium on Computer Architecture, pages 248-259, June 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. 2.D. Albonesi. Dynamic IPC/clock rate optimization. Proceedings of the 25th International Symposium on Computer Architecture, pages 282292, June 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. 3.D. Albonesi. Selective cache ways: On-demand cache resource allocation. Proceedings of the 32nd International Symposium on Microarchitecture, pages 248259, November 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. 4.S. I. Association. The National Technology Roadmap for Engineers. Technical report, 1999.Google ScholarGoogle Scholar
  5. 5.R. Balasubramonian, D. Albonesi, A. Buyuktosunoglu, and S. Dwarkadas. Dynamic memory hierarchy performance optimization. Workshop on Solving the Memory Wall Problem, June 2000.Google ScholarGoogle Scholar
  6. 6.P. Bannon. Alpha 21364: A scalable single-chip SMP. Microprocessor Forum, October 1998.Google ScholarGoogle Scholar
  7. 7.W. Bowhill et al. Circuit implementation of a 300-MHz 64- bit second-generation CMOS Alpha CPU. Digital Technical Journal, 7(1):100118, Special Issue 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. 8.D. Burger and T. Austin. The Simplescalar toolset, version 2.0. Technical Report TR-97-1342, University of Wisconsin- Madison, June 1997.Google ScholarGoogle Scholar
  9. 9.W. Dally and J. Poulton. Digital System Engineering. Cambridge University Press, Cambridge, UK, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. 10.K. Farkas and N. Jouppi. Complexity/performance tradeoffs with non-blocking loads. Proceedings of the 21st International Symposium on Computer Architecture, pages 211 222, April 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. 11.J. Fleischman. Private communication. October 1999.Google ScholarGoogle Scholar
  12. 12.L. Gwennap. PA-8500's 1.5M cache aids performance. Microprocessor Report, 11(15), November 17, 1997.Google ScholarGoogle Scholar
  13. 13.J. Hennessy. Back to the future: Time to return to some long standing problems in computer systems? Federated Computer Conference, May 1999.Google ScholarGoogle Scholar
  14. 14.N. Jouppi. Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers. Proceedings of the 17th International Symposium on Computer Architecture, pages 364373, May 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. 15.M. Kamble and K. Ghose. Analytical energy dissipation models for low power caches. Proceedings of the International Symposium on Low Power Electronics and Design, pages 143148, August 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. 16.R. Kessler. The Alpha 21264 microprocessor. IEEE Micro, 19(2):2436, March/April 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. 17.A. Kumar. The HP PA-8000 RISC CPU. IEEE Computer, 17(2):2732, March 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. 18.G. Lesartre and D. Hunt. PA-8500: The continuing evolution of the PA-8000 family. Proceedings of Compcon, 1997.Google ScholarGoogle Scholar
  19. 19.G. McFarland. CMOS Technology Scaling and Its Impact on Cache Delay. PhD thesis, Stanford University, June 1997.Google ScholarGoogle Scholar
  20. 20.G. McFarland and M. Flynn. Limits of scaling MOS- FETS. Technical Report CSL-TR-95-62, Stanford University, November 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. 21.T. Mowry, M. Lam, and A. Gupta. Design and evaluation of a compiler algorithm for prefetching. Proceedings of ASPLOS-V, pages 6273, October 1992. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. 22.P. Ranganathan, S. Adve, and N. Jouppi. Reconfigurable caches and their application to media processing. Proceedings of the 27th International Symposium on Computer Architecture, pages 214224, June 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. 23.A. Rogers, M. Carlisle, J. Reppy, and L. Hendren. Supporting dynamic data structures on distributed memory machines. ACM Transactions on Programming Languages and Systems, Mar. 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. 24.K. Yeager. The Mips R10000 superscalar microprocessor. IEEE Micro, 16(2):2841, April 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Memory hierarchy reconfiguration for energy and performance in general-purpose processor architectures

            Recommendations

            Comments

            Login options

            Check if you have access through your login credentials or your institution to get full access on this article.

            Sign in
            • Published in

              cover image ACM Conferences
              MICRO 33: Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture
              December 2000
              357 pages
              ISBN:1581131968
              DOI:10.1145/360128

              Copyright © 2000 ACM

              Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

              Publisher

              Association for Computing Machinery

              New York, NY, United States

              Publication History

              • Published: 1 December 2000

              Permissions

              Request permissions about this article.

              Request Permissions

              Check for updates

              Qualifiers

              • Article

              Acceptance Rates

              MICRO 33 Paper Acceptance Rate31of110submissions,28%Overall Acceptance Rate484of2,242submissions,22%

              Upcoming Conference

              MICRO '24

            PDF Format

            View or Download as a PDF file.

            PDF

            eReader

            View online with eReader.

            eReader