skip to main content
10.1145/360128.360154acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
Article
Free Access

Frequent value compression in data caches

Authors Info & Claims
Published:01 December 2000Publication History
First page image
Skip Supplemental Material Section

Supplemental Material

References

  1. 1.D.H. Albonesi: "Selective Cache Ways: On Demand Cache Resource Allocation:" 32nd Annual International Conference on Microarehitecture: pages 248-259: 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. 2.B. Abali and H. Franke: "Operating System Support for Fast Hardware Compression of Main Memory Contents:" Workshop on Solving the Memory Wall Problem: June 2000.Google ScholarGoogle Scholar
  3. 3.C.D. Benveniste: P.A. Franaszek: and J.T. Robinson: "Cache-Memory Interfaces in Compressed Memory Systems:" Workshop on Solving the Memory Wall Problem: June 2000.Google ScholarGoogle Scholar
  4. 4.D. Brooks and M. Martonosi: "Dynamically Exploiting Narrow Width Operands to Improve Processor Power and Performance:" Fifth International Symposium on High-Performance Computer Architecture: Orlando: Florida: January 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. 5.K. Ghose: "Reducing Power in Superscalar Processor Caches using Subbanking: Multiple Line Buffers: and Bit Line Segmentation:" International Symposium on Low Power Electronics and Design: pages 70-75: 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. 6.N.P. Jouppi: "Improving Direct-Mapped Cache Performance by the Addition of a Small Fully-Associative Cache and Prefetch Buffers:" 17th Annual International Symposium on Computer Architecture: Seattle: pages 364-373: 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. 7.M. Kjelso: M. Gooch: and S. Jones: "Empirical Study of Memory-data: Characteristics and Compressibility:" IEE Computers and Digital Techniques: Vol. 145: No. 1: pages 63-67: January 1998.Google ScholarGoogle ScholarCross RefCross Ref
  8. 8.J-S. Lee: W-K. Hong: and S-D. Kim: "Design and Evaluation of a Selective Compressed Memory System:" IEEE International Conference on Computer Design: Austin: TX: pages 184-191: October 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. 9.C. Lefurgy: P. Bird: I.-C. Chen: and T. Mudge: "Improving Code Density Using Compression Techniques:" 30th Annual ACM/IEEE International Symposium on Microarehitecture: pages 194-203: 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. 10.J. Kin: M. Gupta: and W.H. Mangione-Smith: "The Filter Cache: An Energy Efficient Memory Structure:" 30th Annual ACM/IEEE International Symposium on Microarehitecture: pages 184-193: 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. 11.D. Kirovski: J. Kin: and W. H. Mangione-Smith: "Procedure Based Program Compression:" 30th Annual ACM/IEEE International Symposium on Microarehitecture: pages 204-217: 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. 12.S. Y. Larin: "Exploiting Program Redundancy to Improve Performance: Cost and Power Consumption in Embedded Systems:" Ph.D. thesis: ECE Dept.: North Carolina State Univ.: Raleigh: North Carolina: August 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. 13.S. Onder and R. Gupta: "Automatic Generation of Microarchitecture Simulators:" IEEE International Conference on Computer Languages: pages 80-89: Chicago: Illinois: May 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. 14.M.D. Powell: S-H. Yang: B. Falsafi: K. Roy: T.N. Vijaykumar: "Gated Vdd: A Circuit Technique to Reduce Leakage in Deep-submicron Cache Memories:" ACM/IEEE International Symposium on Low Power Electronics and Design: 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. 15.P. Ranganathan: S. Adve: and N. Jouppi: "Reconfigurable Caches and their Application to Media Processing:" 27th Annual International Symposium on Computer Architecture: Vancouver: British Columbia: Canada: June 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. 16.M. Stephenson: J. Babb: and S. Amarasinghe: "Bitwidth Analysis with Application to Silicon Compilation:" ACM SIGPLAN Conference on Programming Language Design and Implementation: Vancouver: British Columbia: Canada: June 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. 17.W. Ye: N. Vijaykrishnan: M. Kandemir: and M.J. Irwin: "The Design and Use of Simplepower: A Cycleaccurate Energy Estimation Tool:" 37th Design Automation Conference: Los Angeles: CA: June 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. 18.Y. Zhang: J. Yang: and R. Gupta: "Frequent Value Locality and Value-centric Data Cache Design:" The Ninth International Conference on Architectural Support for Programming Languages and Operating Systems: Cambridge: MA: November 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Frequent value compression in data caches

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          MICRO 33: Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture
          December 2000
          357 pages
          ISBN:1581131968
          DOI:10.1145/360128

          Copyright © 2000 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 1 December 2000

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • Article

          Acceptance Rates

          MICRO 33 Paper Acceptance Rate31of110submissions,28%Overall Acceptance Rate484of2,242submissions,22%

          Upcoming Conference

          MICRO '24

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader