skip to main content
10.1145/513918.514042acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors

Published:10 June 2002Publication History

ABSTRACT

We describe various design automation solutions for design migration to a dual-Vt process technology. We include the results of a Lagrangian Relaxation based tool, iSTATS, and a heuristic iterative optimization flow. Joint dual-Vt allocation and sizing reduces total power by 10+% compared with Vt allocation alone, and by 25+% compared with pure sizing methods. The heuristic flow requires 5x larger computation runtime than iSTATS due to its iterative nature.

References

  1. Chen, C.P., Chu, C., and Wong, D.F., Fast and exact simultaneous gate and wire sizing by LR. IEEE TCAD, Vol. 18, No. 7, July 1999, 1014--1025. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Ishihara, T., and Asada, K., A system level memory power optimization technique using multiple supply and threshold voltages. IEEE/ACM DAC 2001, 456--461. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Ko, U., Pua, A., Hill, A., and Srivastava, P., Hybrid dual-threshold design techniques for high-performance processors with low-power features. ISLPED 1997, 307--311. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Pant, P., Roy, R., and Chatterjee, A., Dual-Threshold Voltage Assignment with Transistor Sizing for Low Power CMOS Circuits. TVLSI, Vol. 9, No. 2, 4, 2001, 390--394. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Sirichotiyakul, S., et al, Stand-by power minimization through simultaneous threshold voltage selection and circuit sizing. DAC 1999, 436--441. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Sundararajan, V., and Parhi, K. K., Low power synthesis of dual threshold voltage CMOS VLSI circuits. IEEE ISLPED 1999, 139--144. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Tripathi, N., Bhosle, A., Samanta, D., and Pal, A., Optimal assignment of high threshold voltage for synthesizing dual threshold CMOS circuits. VLSI Design, India, 2001, 227--232. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Wei, L., et al, Design and Optimization of Dual-Threshold Circuits for Low-Voltage Low-Power Applications. IEEE TVLSI, Vol. 7, No. 1, March 1999, 16--23. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Wei, L., Chen, Z., and Roy, K., Ye, Y., De, V., Mixed-Vth (MVT) CMOS Circuit Design Methodology for Low Power Applications. ACM/IEEE DAC 1999, 430--435. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Wei, L., Roy, K., and Koh, C. K., Power Minimization by Simultaneous Dual-Vth Assignment and Gate-sizing. IEEE CICC 2000, 413--416.Google ScholarGoogle Scholar
  11. Wong, Q., and Vrudhula, S.B.K., Static power optimization of deep submicron CMOS circuits for dual Vt technology. IEEE/ACM ICCAD 1998, 490--496. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Wong, Q., and Vrudhula, S.B.K., An investigation of power delay trade-offs for dual Vt CMOS circuits. ICCD 1999, 556--562. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        DAC '02: Proceedings of the 39th annual Design Automation Conference
        June 2002
        956 pages
        ISBN:1581134614
        DOI:10.1145/513918

        Copyright © 2002 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 10 June 2002

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        DAC '02 Paper Acceptance Rate147of491submissions,30%Overall Acceptance Rate1,770of5,499submissions,32%

        Upcoming Conference

        DAC '24
        61st ACM/IEEE Design Automation Conference
        June 23 - 27, 2024
        San Francisco , CA , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader