skip to main content
10.1145/581630.581655acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article

Energy aware task scheduling with task synchronization for embedded real time systems

Published:08 October 2002Publication History

ABSTRACT

Slowdown factors determine the extent of slowdown a computing system can experience based on functional and performance requirements. Dynamic Voltage Scaling (DVS) of a processor based on slowdown factors can lead to considerable energy savings. The problem of DVS in the presence of task synchronization has not yet been addressed. We compute slowdown factors for tasks which synchronize for access to shared resources. Tasks synchronize to enforce mutually exclusive access to these resources and can be blocked by lower priority tasks. We compute static slowdown factors for the tasks which guarantee meeting all the task deadlines. Our simulation experiments show on an average 25% energy gains over the known slowdown techniques.

References

  1. H. Aydin, R. Melhem, D. Mossé, and P. M. Alvarez. Determining optimal processor speeds for periodic real-time tasks with different power characteristics. In Euromicro Conference on Real Time Systems, Delft, Holland, June 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. H. Aydin, R. Melhem, D. Mossé, and P. M. Alvarez. Dynamic and aggressive scheduling techniques for power-aware real-time systems. In Real-Time Systems Symposium, London, England, December 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. T. P. Baker. Stack-based scheduling of realtime processes. In RealTime Systems Journal, pages 67--99, 1991. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. F. Gruian. Hard real-time scheduling for low-energy using stochastic data and dvs processors. In International Symposium on Low Power Electronics and Design, pages 46--51, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. F. Gruian and K. Kuchcinski. Lenes: task scheduling for low-energy systems using variable supply voltage processors. In Proceedings of the Asia South Pacific Design Automation Conference, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. W. Kim, J. Kim, and S. L. Min. A dynamic voltage scaling algorithm for dynamic-priority hard real-time systems using slack time analysis. In Design Automation and Test in Europe, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. P. Kumar and M. Srivastava. Predictive strategies for low-power rtos scheduling. In Proceedings of IEEE International Conference on Computer Design: VLSI in Computers and Processors, pages 343--348, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. P. C. Laboratory. Parsec: A c-based simulation language. University of Califronia Los Angeles. http://pcl.cs.ucla.edu/projects/parsec.Google ScholarGoogle Scholar
  9. R. T. S. Laboratory. Prototyping environment for real-time systems (perts). University of Illinois at Urbana Champaign (UIUC). http://pertsserver.cs.uiuc.edu/software/.Google ScholarGoogle Scholar
  10. J. Lehoczky, L. Sha, and Y. Ding. The rate monotonic scheduling algorithm: exact characterization and average case behaviour. In Real-Time Systems Symposium, pages 166--171, 1989.Google ScholarGoogle ScholarCross RefCross Ref
  11. C. L. Liu and J. W. Layland. Scheduling algorithms for multiprogramming in a hard real time environment. In Journal of the ACM, pages 46--61, 1973. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. J. W. S. Liu. Real-Time Systems. Prentice-Hall, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. J. Luo and N. Jha. Power-conscious joint scheduling of periodic task graphs and a periodic tasks in distributed real-time embedded systems. In International Conference on Computer Aided Design, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. P. Pillai and K. G. Shin. Real-time dynamic voltage scaling for low-power embedded operating systems. In Proceedings of 18th Symposium on Operating Systems Principles, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. F. P. Preparata and M. l. Shamos. Computational Geometry, An Introduction. Springer Verlag, 1985. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. G. Quan and X. Hu. Energy efficient fixed-priority scheduling for real-time systems on variable voltage processors. In Proceedings of the Design Automation Conference, pages 828--833, June 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. G. Quan and X. Hu. Minimum energy fixed-priority scheduling for variable voltage processors. In Design Automation and Test in Europe, pages 782--787, March 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. V. Raghunathan, P. Spanos, and M. Srivastava. Adaptive power-fidelity in energy aware wireless embedded systems. In IEEE Real-Time Systems Symposium, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. L. Sha, R. Rajkumar, and J. P. Lehoczky. Priority inheritance protocols: An approach to real-time synchronization. In IEEE Transactions on Computers, pages 1175--85, 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Y. Shin, K. Choi, and T. Sakurai. Power optimization of real-time embedded systems on variable speed processors. In Proceeding of the International Conference on Computer-Aided Design, pages 365--368, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. A. Silberschatz, P. B. Galvin, and G. Gagne. Operating System Concepts. John Wiley and Sons, Inc., 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. F. Yao, A. J. Demers, and S. Shenker. A scheduling model for reduced CPU energy. In IEEE Symposium on Foundations of Computer Science, pages 374--382, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Y. Zhang, X. S. Hu, and D. Z. Chen. Task scheduling and voltage selection for energy minimization. In Proceedings of the Design Automation Conference, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Energy aware task scheduling with task synchronization for embedded real time systems

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Conferences
    CASES '02: Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems
    October 2002
    324 pages
    ISBN:1581135750
    DOI:10.1145/581630

    Copyright © 2002 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 8 October 2002

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • Article

    Acceptance Rates

    Overall Acceptance Rate52of230submissions,23%

    Upcoming Conference

    ESWEEK '24
    Twentieth Embedded Systems Week
    September 29 - October 4, 2024
    Raleigh , NC , USA

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader