skip to main content
10.1145/775832.775976acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

Efficient compression and application of deterministic patterns in a logic BIST architecture

Published:02 June 2003Publication History

ABSTRACT

We present a novel method to efficiently generate, compress and apply test patterns in a logic BIST architecture. Patterns are generated by a modified automatic test pattern generator (ATPG) and are encoded as linear feedback shift register (LFSR) initial values (seeds); one or more patterns can be encoded into a single LFSR seed. During test application, seeds are loaded into the LFSR with no cycle overhead. The method presented achieves reductions of at least 100x in test data and 10x in tester cycles compared to deterministic ATPG while maintaining complete fault coverage, as confirmed by experimental results on industrial designs.

References

  1. M. Abramovici, M.A. Breuer, A.D. Friedman, Digital Systems Testing and Testable Design, IEEE Press, 1990.Google ScholarGoogle Scholar
  2. E.B. Eichelberger, E. Lindbloom, J.A. Waicukauski, T.W. Williams, Structured Logic Testing, Prentice-Hall, 1991. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. V.D. Agrawal, C.R. Kime, K.K. Saluja, "A Tutorial on Built-In Self-Test, Part 1: Principles", IEEE Design & Test 1993, Vol. 10, No.1, pp. 73--82. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. G. Hetherington, T. Fryars, N. Tamarapalli, M. Kassab, A. Hassan, J. Rajski, "Logic BIST for Large Industrial Designs: Real Issues and Case Studies", International Test Conference 1999, pp.358--367. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. P.H. Bardell, W.H. McAnney, "Self-Testing of Multichip Logic Modules", International Test Conference 1982, pp.200--204.Google ScholarGoogle Scholar
  6. P.H. Bardell, W.H. McAnney, J. Savir, Built-In Test for VLSI: Pseudorandom Techniques, John Wiley & Sons, 1987. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. H.-J. Wunderlich, G. Kiefer, "Bit-Flipping BIST", International Conference on Computer-Aided Design, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. A. Irion, G. Kiefer, H. Vranken, H.-J. Wunderlich, "Circuit Partitioning for Efficient Logic BIST Synthesis", Design and Test Europe, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. B. Könemann, "LFSR-Coded Test Patterns for Scan Designs", European Test Conference, Munich, 1991.Google ScholarGoogle Scholar
  10. S. Hellebrand, J. Rajski, S. Tarnick, S. Venkataraman, B. Courtois, "Built-In Test for Circuits with Scan Based on Reseeding of Multiple-Polynomial Linear Feedback Shift Registers", IEEE Transactions on Computers, Vol. 44, No. 2, Feb. 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. S. Hellebrand, B. Reeb, S. Tarnick, H.-J. Wunderlich, "Pattern Generation for a Deterministic BIST Scheme", International Conference on Computer-Aided Design, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. S. Chiusano, S. DiCarlo, P. Prinetto, H.-J. Wunderlich, "On Applying the Set Covering Model to Reseeding", Design and Test Europe, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. N.C. Lai, S.J. Wang, "A Reseeding Technique for LFSR-Based BIST Applications", Asian Test Symposium 2002, pp. 200--205. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. B. Koenemann, C. Barnhart, B. Keller, T. Snethen, O. Farnsworth, D. Wheater, "A SmartBIST Variant with Guaranteed Encoding", Asian Test Symposium 2001, pp. 325--330. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. J. Rajski, J. Tyszer, M. Kassab, N. Mukherjee, R. Thompson, K.H. Tsai, A. Hertwig, N. Tamarapalli. G. Mrugalski, G. Eide, J. Qian, "Embedded Deterministic Test for Low Cost Manufacturing Test", International Test Conference 2002, pp. 301--310. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. J. Rajski, N. Tamarapalli, J. Tyszer, "Automated Synthesis of Phase Shifters for Built-In Self-Test Applications", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2000, Vol. 19 No. 10, pp. 1175--1188. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. P. Wohl, J.A. Waicukauski, T.W. Williams, "Design of Compactors for Signature-Analyzers in Built-In Self Test", International Test Conference 2001, pp.54--63. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. "TetraMAX ATPG", http://www.synopsys.com/products/test/ tetramax_ds.htmlGoogle ScholarGoogle Scholar

Index Terms

  1. Efficient compression and application of deterministic patterns in a logic BIST architecture

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        DAC '03: Proceedings of the 40th annual Design Automation Conference
        June 2003
        1014 pages
        ISBN:1581136889
        DOI:10.1145/775832

        Copyright © 2003 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 2 June 2003

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        DAC '03 Paper Acceptance Rate152of628submissions,24%Overall Acceptance Rate1,770of5,499submissions,32%

        Upcoming Conference

        DAC '24
        61st ACM/IEEE Design Automation Conference
        June 23 - 27, 2024
        San Francisco , CA , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader