skip to main content
10.1145/782814.782830acmconferencesArticle/Chapter ViewAbstractPublication PagesicsConference Proceedingsconference-collections
Article

PowerHerd: dynamic satisfaction of peak power constraints in interconnection networks

Published:23 June 2003Publication History

ABSTRACT

Power consumption is a critical issue in interconnection network design, driven by power- related design constraints, such as thermal and power delivery design. Usually, off-line worst-case power analysis is used in network design to guarantee safe on-line operation, which not only increases system cost but also constrains network performance. In this work, we present an on-line mechanism, called PowerHerd, which can dynamically regulate network power consumption, and guarantee that network peak power constraints are not exceeded. PowerHerd is a distributed approach -- within the interconnection network, each router dynamically maintains a local power budget, controls its local power dissipation, and exchanges spare power resources with its neighboring routers to optimize network performance.Experiments demonstrate that PowerHerd can effectively regulate network power consumption meeting peak power constraints with negligible network performance penalty. Armed with PowerHerd, network designers can focus on system performance and power optimization for the average case rather than the worst case, thus making it possible to employ a more powerful interconnection network in the system.

References

  1. S. Borkar. Design challenges of technology scaling. IEEE-MICRO, 19(4):23--20, July/Aug. 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. D. Brooks and M. Martonosi. Dynamic thermal management for high-performance microprocessors. In Proc. International Symposium on High Performance Computer Architecture, pages 171--182, Jan. 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. X.-N. Chen and L.-S. Peh. Leakage power modeling and optimization of interconnection networks. In Proc. International Symposium on Low Power Electronics and Design, Aug. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. W. J. Dally and B. Towles. Route packets, not wires: On-chip interconnection networks. In Proc. Design Automation Conference, pages 684--689, June 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. J. Duato, S. Yalamanchili, and L. Ni. Interconnection Networks. Morgan Kaufmann Publishers, San Francisco, CA, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. E. Grochowski, D. Ayers, and V. Tiwari. Microarchitectural simulation and control of di/dt-induced power supply voltage variation. In Proc. International Symposium on High Performance Computer Architecture, pages 7--16, Feb. 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. R. Joseph, D. Brooks, and M. Martonosi. Control techniques to eliminate voltage emergencies in high performance processors. In Proc. International Symposium on High Performance Computer Architecture, pages 91--102, Feb. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. S. S. Mukherjee, P. Bannon, S. Lang, A. Spink, and D. Webb. The Alpha 21364 network architecture. IEEE Micro, 22(1):26--35, Jan./Feb. 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. R. H. Myers. Classical and Modern Regression with Application. Duxbury Press, Boston, MA, 1989.Google ScholarGoogle Scholar
  10. C. Patel, S. Chai, S. Yalamanchili, and D. Schimmel. Power-constrained design of multiprocessor interconnection networks. In Proc. International Conference on Computer Design, pages 408--416, Oct. 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. RLX. Features of Server Blades Design. http://www.rlx.com.Google ScholarGoogle Scholar
  12. L. Shang, L.-S. Peh, and N. K. Jha. Dynamic voltage scaling with links for power optimization of interconnection networks. In Proc. International Symposium on High Performance Computer Architecture, pages 79--90, Feb. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. K. Skadron, T. Abdelzaher, and M. R. Stan. Control-theoretic techniques and thermal-RC modeling for accurate and localized dynamic thermal management. In Proc. International Symposium on High Performance Computer Architecture, pages 17--28, Feb. 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. M. B. Taylor, J. Kim, J. Miller, D. Wentzlaff, F. Ghodrat, B. Greenwald, H. Hoffman, P. Johnson, J.-W. Lee, W. Lee, A. Ma, A. Saraf, M. Seneski, N. Shnidman, V. Strumpen, M. Frank, S. Amarasinghe, and A. Agarwal. The RAW microprocessor: A computational fabric for software circuits and general-purpose programs. IEEE-MICRO, 22(2):25--35, Mar./Apr. 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. H.-S. Wang, X.-P. Zhu, L.-S. Peh, and S. Malik. Orion: A power-performance simulator for interconnection networks. In Proc. International Symposium on Microarchitecture, pages 294--305, Nov. 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. F. Worm, P. Ienne, P. Thiran, and G. De Micheli. An adaptive low power transmission scheme for on-chip networks. In Proc. International System Synthesis Symposium, Oct. 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. T. T. Ye, L. Benini, and G. De Micheli. Analysis of power consumption of switch fabrics in network routers. In Proc. Design Automation Conference, pages 524--529, June 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. L.-T. Yeh and R. C. Chu. Thermal Management of Microelectronic Equipment: Heat Transfer Theory, Analysis Methods, and Design Practices. ASME Press, New York, NY, 2002.Google ScholarGoogle Scholar

Index Terms

  1. PowerHerd: dynamic satisfaction of peak power constraints in interconnection networks

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ICS '03: Proceedings of the 17th annual international conference on Supercomputing
        June 2003
        380 pages
        ISBN:1581137338
        DOI:10.1145/782814

        Copyright © 2003 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 23 June 2003

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        ICS '03 Paper Acceptance Rate36of171submissions,21%Overall Acceptance Rate584of2,055submissions,28%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader