skip to main content
article

Test data compression using dictionaries with selective entries and fixed-length indices

Published:01 October 2003Publication History
Skip Abstract Section

Abstract

We present a dictionary-based test data compression approach for reducing test data volume in SOCs. The proposed method is based on the use of a small number of ATE channels to deliver compressed test patterns from the tester to the chip and to drive a large number of internal scan chains in the circuit under test. Therefore, it is especially suitable for a reduced pin-count and low-cost DFT test environment, where a narrow interface between the tester and the SOC is desirable. The dictionary-based approach not only reduces test data volume but it also eliminates the need for additional synchronization and handshaking between the SOC and the ATE. The dictionary entries are determined during the compression procedure by solving a variant of the well-known clique partitioning problem from graph theory. Experimental results for the ISCAS-89 benchmarks and representative test data from IBM show that the proposed method outperforms a number of recently-proposed test data compression techniques. Compared to the previously proposed test data compression approach based on selective Huffman coding with variable-length indices, the proposed approach generally provides higher compression for the same amount of hardware overhead.

References

  1. Barnhart, C., Brunkhorst, V., Distler, F., Farnsworth, O., Keller, B., and Koenemann, B. 2001. OPMISR: The foundation for compressed ATPG vectors. In Proceedings of the International Test Conference. 748--757. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Bayraktaroglu, I. and Orailoglu, A. 2001. Test volume and application time reduction through scan chain concealment. In Proceedings of the ACM/IEEE Design Automation Conference. ACM, New York, 151--155. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Chandra, A. and Chakrabarty, K. 2001a. Frequency-directed run-length (FDR) codes with application to system-on-a-chip test data compression. In Proceedings of the VLSI Test Symposium. 42--47. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Chandra, A. and Chakrabarty, K. 2001b. System-on-a-chip test data compression and decompression architectures based on Golomb codes. IEEE Trans. Computer-Aided Design 20, 355--368. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Cormen, T. H., Leiserson, C. E., Rivest, R. L., and Stein, C. 2001. Introduction to Algorithms. MIT press, Cambridge, London, England. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. El-Maleh, A. and Al-Abaji, R. 2002. Extended frequency-directed run-length codes with improved application to system-on-a-chip test data compression. In Proceedings of the International Conference on Electronics, Circuits and Systems. 449--452.Google ScholarGoogle Scholar
  7. El-Maleh, A. and Al-Suwaiyan, A. 2002. An efficient test relaxation technique for combinational and full-scan sequential circuits. In Proceedings of the VLSI Test Symposium. 53--59. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. El-Maleh, A., al Zahir, S., and Khan, E. 2001. A geometric-primitives-based compression scheme for testing systems-on-chip. In Proceedings of the VLSI Test Symposium. 54--59. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Garey, M. R. and Johnson, D. S. 1979. Computers and Intractability: A Guide to the Theory of NP-Completeness. Freeman, New York. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Gonciari, P. T., Al-Hashimi, B., and Nicolici, N. 2002. Improving compression ratio, area overhead, and test application time for system-on-a-chip test data compression/decompression. In Proceedings of the Design, Automation and Test in Europe Conference. 604--611. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Hamzaoglu, I. and Patel, J. H. 1998. Test set compaction algorithms for combinational circuits. In Proceedings of the International Conference on CAD. 283--289. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Hellebrand, S., Liang, H.-G., and Wunderlich, H.-J. 2000. A mixed-mode BIST scheme based on reseeding of folding counters. In Proceedings of the International Test Conference. 778--784. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Hsu, F. F., Butler, K. M., and Patel, J. H. 2001. A case study on the implementation of illinois scan architecture. In Proceedings of the International Test Conference. 538--547. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Iyengar, V., Chakrabarty, K., and Murray, B. T. 1999. Deterministic built-in pattern generation for sequential circuits. J. Elect. Test: Theory Appl. 15, 97--115. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Jas, A., Ghosh-Dastidar, J., and Touba, N. A. 1999. Scan vector compression/decompression using statistical coding. In Proceedings of the VLSI Test Symposium. 114--120. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Jas, A. and Touba, N. A. 1998. Test vector decompression via cyclical scan chains and its application to testing core-based design. In Proceedings of the International Test Conference. 458--464. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Kajihara, S. and Miyase, K. 2001. On identifying don't care inputs of test patterns for combinational circuits. In Proceedings of the International Conference on CAD. 364--369. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Koenemann, B., Barnhart, C., B.Keller, Snethen, T., Farnsworth, O., and Wheater, D. 2001. A SmartBIST variant with guaranteed encoding. In Proceedings of the Asian Test Symposium. 325--330. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Rajski, J., Tyszer, J., Kassab, M., Mukherjee, N., Thompson, R., Tsai, H., Hertwig, A., Tamarapalli, N., Mrugalski, G., Eide, G., and Qian, J. 2002. Embedded deterministic test for low-cost manufacturing test. In Proceedings of the International Test Conference. 301--310. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Reda, S. and Orailoglu, A. 2002. Reducing test application time through test data mutation encoding. In Proceedings of the Design, Automation and Test in Europe Conference. 387--393. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Reddy, S. M., Miyase, K., Kajihara, S., and Pomeranz, I. 2002. On test data volume reduction for multiple scan chain design. In Proceedings of the VLSI Test Symposium. 103--108. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Salomon, D. 2000. Data Compression: The Complete Reference. Springer-Verlag New York, Inc., New York, NY. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Schafer, L., Dorsch, R., and Wunderlich, H.-J. 2002. Respin++---Deterministic embedded test. In Proceedings of the European Test Workshop. 37--44.Google ScholarGoogle Scholar
  24. Touba, N. A. and McCluskey, E. J. 1996. Altering a pseudo-random bit sequence for scan based BIST. In Proceedings of the International Test Conference. 167--175. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Volkerink, E. H., Khoche, A., and Mitra, S. 2002. Packet-based input test data compression techniques. In Proceedings of the International Test Conference. 154--163. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Vranken, H., Waayers, T., Fleury, H., and Lelouvier, D. 2001. Enhanced reduced pin-count test for full-scan designs. In Proceedings of the International Test Conference. 738--747. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Wolff, F. G. and Papachristou, C. 2002. Multiscan-based test compression and hardware decompression using LZ77. In Proceedings of the International Test Conference. 331--339. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Wunderlich, H.-J. and Kiefer, G. 1996. Bit-flipping BIST. In Proceedings of the International Conference on Computer-Aided Design. 337--343. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Test data compression using dictionaries with selective entries and fixed-length indices

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM Transactions on Design Automation of Electronic Systems
        ACM Transactions on Design Automation of Electronic Systems  Volume 8, Issue 4
        October 2003
        194 pages
        ISSN:1084-4309
        EISSN:1557-7309
        DOI:10.1145/944027
        Issue’s Table of Contents

        Copyright © 2003 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 1 October 2003
        Published in todaes Volume 8, Issue 4

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • article

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader