skip to main content
10.1145/996566.996663acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

First-order incremental block-based statistical timing analysis

Authors Info & Claims
Published:07 June 2004Publication History

ABSTRACT

Variability in digital integrated circuits makes timing verification an extremely challenging task. In this paper, a canonical first order delay model is proposed that takes into account both correlated and independent randomness. A novel linear-time block-based statistical timing algorithm is employed to propagate timing quantities like arrival times and required arrival times through the timing graph in this canonical form. At the end of the statistical timing, the sensitivities of all timing quantities to each of the sources of variation are available. Excessive sensitivities can then be targeted by manual or automatic optimization methods to improve the robustness of the design. This paper also reports the first incremental statistical timer in the literature which is suitable for use in the inner loop of physical synthesis or other optimization programs. The third novel contribution of this paper is the computation of local and global criticality probabilities. For a very small cost in CPU time, the probability of each edge or node of the timing graph being critical is computed. Numerical results are presented on industrial ASIC chips with over two million logic gates.

References

  1. C. Visweswariah, "Death, taxes and failing chips," Proc. 2003 Design Automation Conference, pp. 343--347, June 2003. Anaheim, CA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. R. P. Abato, A. D. Drumm, D. J. Hathaway, and L. P. P. P. van Ginneken, "Incremental timing analysis," U. S. Patent 5,508,937, April 1993.Google ScholarGoogle Scholar
  3. J.-J. Liou, K.-T. Cheng, S. Kundu, and A. Krstic, "Fast statistical timing analysis by probabilistic event propagation," Proc. 2001 Design Automation Conference, pp. 661--666, June 2001. Las Vegas, NV. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. M. R. C. M. Berkelaar, "Statistical delay calculation: a linear time method," Proc. TAU (ACM/IEEE workshop on timing issues in the specification and synthesis of digital systems), December 1997.Google ScholarGoogle Scholar
  5. A. B. Agarwal, D. Blaauw, V. Zolotov, and S. Vrudhula, "Computation and refinement of statistical bounds on circuit delay," Proc. 2003 Design Automation Conference, June 2003. Anaheim, CA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. L. Scheffer, "Explicit computation of performance as a function of process variation," Proc. TAU (ACM/IEEE workshop on timing issues in the specification and synthesis of digital systems), pp. 1--8, December 2002. Monterey, CA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. H. Chang and S. S. Sapatnekar, "Statistical timing analysis considering spatial correlations using a single PERT-like traversal," IEEE International Conference on Computer-Aided Design, pp. 621--625, November 2003. San Jose, CA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. A. Agarwal, D. Blaauw, and V. Zolotov, "Statistical timing analysis for intra-die process variations with spatial correlations," IEEE International Conference on Computer-Aided Design, pp. 900--907, November 2003. San Jose, CA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. J. Jess, "Dfm in synthesis," research report, IBM Research Division, T. J. Watson Research Center, Yorktown Heights, NY 10598, December 2001.Google ScholarGoogle Scholar
  10. J. A. G. Jess, K. Kalafala, S. R. Naidu, R. H. J. M. Otten, and C. Visweswariah, "Statistical timing for parametric yield prediction of digital integrated circuits," Proc. 2003 Design Automation Conference, pp. 932--937, June 2003. Anaheim, CA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. C. E. Clark, "The greatest of a finite set of random variables," Operations Research, pp. 145--162, March-April 1961.Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. M. Cain, "The moment-generating function of the minimum of bivariate normal random variables," The American Statistician, vol. 48, pp. 124--125, May 1994.Google ScholarGoogle Scholar
  13. C. Visweswariah, "System and method for statistical timing analysis of digital circuits," Docket YOR9-2003-401, August 2003. Filed with the U. S. Patent office.Google ScholarGoogle Scholar
  14. C. Visweswariah, "System and method for probabilistic criticality prediction of digital circuits," Docket YOR9-2003-402, August 2003. Filed with the U. S. Patent office.Google ScholarGoogle Scholar
  15. D. J. Hathaway, J. P. Alvarez, and K. P. Belkhale, "Network timing analysis method which eliminates timing variations between signals traversing a common circuit path," U. S. Patent 5,636,372, June 1997.Google ScholarGoogle Scholar
  16. C. Visweswariah, "System and method for incremental statistical timing analysis of digital circuits," Docket YOR9-2003-403, August 2003. Filed with the U. S. Patent office.Google ScholarGoogle Scholar

Index Terms

  1. First-order incremental block-based statistical timing analysis

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      DAC '04: Proceedings of the 41st annual Design Automation Conference
      June 2004
      1002 pages
      ISBN:1581138288
      DOI:10.1145/996566
      • General Chair:
      • Sharad Malik,
      • Program Chairs:
      • Limor Fix,
      • Andrew B. Kahng

      Copyright © 2004 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 7 June 2004

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

      Upcoming Conference

      DAC '24
      61st ACM/IEEE Design Automation Conference
      June 23 - 27, 2024
      San Francisco , CA , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader