Expand this Topic clickable element to expand a topic
Skip to content
Optica Publishing Group

Etch-free low loss silicon waveguides using hydrogen silsesquioxane oxidation masks

Open Access Open Access

Abstract

An etch-free fabrication technique for creating low loss silicon waveguides in the silicon-on-insulator material system is proposed and demonstrated. The approach consists of local oxidation of a silicon-on-insulator chip covered with a e-beam patterned hydrogen silsesquioxane mask. A single oxidation step converts hydrogen silsesquioxane to a glass-like compound and simultaneously defines the waveguides, bypassing the need for any wet or dry etching steps. The spectral response of ring resonators fabricated using this technique was used to characterize the waveguide losses. Intrinsic Q-factors as high as 1.57 × 106, corresponding to a waveguide loss of 0.35dB/cm, were measured.

©2011 Optical Society of America

1. Introduction

Silicon based photonic technology has seen tremendous growth in the past decade [1]. The availability of the Silicon-On-Insulator (SOI) material system as a convenient waveguiding platform for fabricating planar photonic circuits has been a major factor in this growth. To create the waveguides, most fabrication approaches rely on a plasma etching step which can have detrimental effects on the loss characteristics of the waveguide. Surface roughness and chemical damage have been identified as the main culprits for this increased loss [2].

Local oxidation of silicon (LOCOS) using a variety of mask materials has been proposed as an alternative fabrication approach to create low loss waveguides [24]. The main advantage of using LOCOS is that instead of etching the silicon layer directly, only the mask is etched and subsequently the pattern is transferred into silicon using oxidation. However, the shared commonality in all of these approaches is the need for a plasma or wet etch step to define and pattern the mask. In this work we propose and demonstrate, for the first time, an alternative approach which completely bypasses the etching step. In addition to improving the loss characteristics of the resultant waveguides, the approach also simplifies the overall fabrication process considerably, which can result in a distinct economic advantage of this fabrication technique.

2. Waveguide fabrication

In order to create a mask for LOCOS, the mask must be able to withstand the high temperature of the oxidation process (typically 900°C or more), so organic masks are not suitable for this purpose. Owing to the partial overlap of the mode with the oxidation mask, the mask should have low optical loss or be removed in the final step. Material choices for LOCOS masks have included thermal oxide grown on the wafer surface [2] and silicon nitride [3] (deposited using PECVD). These masks are then patterned using e-beam or UV-lithography and, depending on the material, either removed [3] or left embedded as part of the waveguide structure [2].

In our approach we have chosen hydrogen silsequioxane (HSQ) as a candidate for the oxidation mask. HSQ is a cage-like siloxane-based polymer with the general formula (HSiO3/2)2 n where every silicon atom is bound to three oxygen atoms and one hydrogen atom, forming a three dimensional ladder structure. HSQ has long been used as a low-k dielectric in the semiconductor industry [5] and has also become a widely used negative electron beam resist [6]. Since it is readily patterned using e-beam lithography, it can be directly used as a mask without the need for an additional etching step. Also, it has been shown that annealing HSQ at high temperatures in an oxygen-rich environment will convert it to a glass-like compound with excellent optical properties [7]. Therefore, after performing the oxidation step to define the silicon waveguides there will be no need to remove the HSQ, since it effectively becomes one with the thermal oxide. The fabrication steps for this process are shown in Fig. 1 .

 figure: Fig. 1

Fig. 1 Etch-free fabrication process for creating silicon waveguides in SOI.

Download Full Size | PDF

An important requirement of the oxidation mask is that it should form an (ideally) impenetrable barrier to the oxygen atoms in order to protect the underlying silicon from oxidation. For HSQ this can be satisfied by creating a relatively thick mask (500nm-800nm). Such a layer will form a semi-impervious barrier to oxygen, while still being patternable with e-beam lithography. However, most standard recipes for HSQ are tailored to thin layers (resist thicknesses of at most 200 nanometers). Using these standard recipes for patterning a thick layer of HSQ will result in sloping sidewalls and proximity effects due to over-exposure in small gaps (Fig. 2(a) ). In order to create well defined patterns in thick HSQ, we used a high contrast recipe [8] which resulted in excellent gap clearance and steep sidewalls (Fig. 2(b)). To fabricate the devices, we used FOX-16 spun at 2000rpm on SOI chips having 200nm device and 3000nm buried oxide layers, which results in an HSQ film thickness of about 550nm. To obtain thicker films, some of the solvent was intentionally evaporated, resulting in a more viscous solution, which resulted in film thicknesses up to 800nm. E-beam patterning was done on a Raith 50 with a dosage of 600μC/cm2. Oxidation was performed in a tube furnace at a temperature of 1120°C and an oxygen flow of 10sccm. By controlling the duration of oxidation and thickness of the HSQ we were able to create both rib and buried channel type waveguides (Fig. 3 ). To protect the surface of the chips and also to create a more symmetric structure, 2000nm of SiO2 was deposited via PECVD on the chip surface.

 figure: Fig. 2

Fig. 2 (a) Thick HSQ after processing in standard exposure and development recipe which results in a clogged waveguide/ring gap due to proximity effects. Note that the sidewalls are also sloping. (b) Vertical sidewalls and clear gap as a result of using a high contrast HSQ development recipe.

Download Full Size | PDF

 figure: Fig. 3

Fig. 3 Different waveguide profiles obtained by changing the oxidation time and mask thickness: (a) Rib waveguide. (b) Buried channel waveguide.

Download Full Size | PDF

3. Waveguide loss measurements

The accurate measurement of optical loss in low loss waveguides can be a challenging undertaking. The usual technique for characterizing optical loss is the ‘cut-back’ method, where the losses are extracted from the transmission through waveguides of different lengths. However, to measure losses less than a few dB/cm with this technique, the waveguide lengths should be on the order of centimeters which is not easy to achieve with direct electron beam writing, due to limited write-field sizes. Resonant techniques for characterizing waveguide loss, on the other hand, can be implemented using smaller structures. To characterize the waveguide losses, we fabricated ring resonators weakly coupled to straight waveguides (to minimize the coupler losses). For these samples, the HSQ width and height were 900nm × 650nm and the oxidation time was 6 hours, resulting in a rib type waveguide.

In a ring resonator, the coupling coefficient and ring loss can be extracted simultaneously from the resonance linewidths, FSR and extinction ratios of the normalized transmission spectrum [9]. The measured transmission spectrum in Fig. 4(a) corresponds to a ring with radius of 150μm (a comparatively large radius was chosen to minimize the radiation losses), and waveguide-ring gap of 700nm. The spectral scan was carried out using an Agilent 86100B tunable laser with 100fm resolution. The measured group index is 3.22, calculated from the free spectral range of 0.845nm. Figure 5(a) shows the SEM of the waveguide profile (after the upper cladding was removed with a wet oxide etch). From the SEM measurements, the waveguide profile is modeled as a Gaussian curve with the formy=a+bex22c2 with a = 40nm, b = 85nm, c = 300nm. The parameter a, corresponding to the thickness of the slab section, was estimated from the cross section SEM image of the unpatterned area of the chip. The model fit was optimized such that the calculated group index matches the measured group index. At 1600nm, the calculated effective index of the quasi-TE mode is 2.12 (Fig. 5(b)). The simulations also predict the existence of a weakly guided antisymmetric quasi-TE mode with effective index of 1.77, close to the effective index of the slab mode (1.64).

 figure: Fig. 4

Fig. 4 (a) Normalized transmission through etch-free ring loaded waveguide. (b) Resonant dip at 1598nm with FWHM of 1.3pm. (c) Resonance at 1604nm exhibits mode splitting with FWHM of 1.1pm.

Download Full Size | PDF

 figure: Fig. 5

Fig. 5 Waveguide profile (a) and associated quasi-TE mode (b) for the loaded ring resonator. The upper cladding of the waveguide was removed with a wet oxide etch to facilitate the profile measurement.

Download Full Size | PDF

Some of the resonances exhibit mode splitting (Fig. 4(c)), which has been attributed to backscattering in the ring or ring cladding [10] and also degeneracy breaking due to the presence of the coupler ([11,12]). In order to account for this splitting, the resonance was modeled as an inverted double Lorentzian, from which the overall linewidth was extracted by weighted combination of the two linewidths (Δλ=(d+1d)2(d1Δλ1+d2Δλ2)1), where d1 and d2 are the fitted amplitudes of each Lorentzian resonance dip. Linewidths as narrow as 1.1pm were measured (Fig. 5(c)). The extracted loss from this set of resonances (Fig. 6 ) yields an average loss of 0.49dB/cm and a minimum loss of 0.35dB/cm. This corresponds to an intrinsic Q factor of 1.57 × 106 where Qint=2πngαdBλ0×10log10eand αdB is the waveguide loss in decibels per unit length [13]). A portion of this loss is due to factors such as losses in the HSQ mask and PECVD cladding, leakage into the silicon substrate and also some radiation loss from the ring. In addition, mode splitting may result in reduction of the overall Q factor [12].Taking all these effects into consideration it is likely that the actual waveguide loss is less than the measured 0.35dB/cm. It should be noted that part of the loss reduction is due to the increased overlap of the mode with oxide cladding and so in principle it should be possible to have waveguides with losses less than the bulk silicon loss.

 figure: Fig. 6

Fig. 6 Calculated loss corresponding to each of the resonant dips shown in Fig. 4. The lowest measured loss of 0.35dB corresponds to the 1.1pm double resonance dip in Fig. 4(b) and corresponds to an intrinsic Q factor of 1.57 × 106. The error bars are calculated assuming ± 100fm error in measuring the FWHM.

Download Full Size | PDF

In addition to the inherent propagation loss, bending losses are also an important parameter of the waveguide. Figure 7 shows the estimated bending loss of the waveguide profile shown in Fig. 5. Calculation of bending losses was done using an equivalent straight waveguide model [14]. The results indicate that it possible to create fairly tight bends with negligible bend losses using this fabrication technique.

 figure: Fig. 7

Fig. 7 Estimated bending loss of waveguide profile shown in Fig. 5.

Download Full Size | PDF

In conclusion, we have demonstrated a completely etch free technique to fabricate silicon waveguides with extremely low losses, approaching the value of bulk silicon loss of 0.2dB/cm. We have also demonstrated how passive components such as high-Q ring resonators can be fabricated using this technique. Further control over the waveguide shape is possible by changing the thickness of the HSQ and oxidation time. By using process modeling tools such as Silvaco Athena, which would take into account effects such as oxygen diffusion through the HSQ mask, it will be possible to predict and design a desired waveguide profile. The high quality of the waveguides, coupled with the fact that this process only requires a single oxidation step and completely eliminates the need for plasma etching equipment (which can result in substantial cost and time saving in the fabrication process) makes this approach an attractive alternative to conventional etch-based fabrication processes.

Acknowledgments

Fabrication of devices was carried out at the UCSD/CALIT2 NANO3 nanofabrication facility and measurements were performed at the UCSD/CALIT2 Agilent Chip-Scale Photonics Testing facility at UCSD. The authors would like to acknowledge useful discussions with Dr. Boris Slutsky and Mr. Matthew Puckett. This work was supported by the Defense Advanced Research Projects Agency (DARPA), the National Science Foundation (NSF), the NSF Center for Integrated Access Networks (CIAN), Cymer Corporation and the U.S. Army Research Office.

References and links

1. R. Soref, “The past, present, and future of silicon photonics,” IEEE J. Sel. Top. Quantum Electron. 12(6), 1678–1687 (2006). [CrossRef]  

2. J. Cardenas, C. B. Poitras, J. T. Robinson, K. Preston, L. Chen, and M. Lipson, “Low loss etchless silicon photonic waveguides,” Opt. Express 17(6), 4752–4757 (2009). [CrossRef]   [PubMed]  

3. B. Desiatov, I. Goykhman, and U. Levy, “Demonstration of submicron square-like silicon waveguide using optimized LOCOS process,” Opt. Express 18(18), 18592–18597 (2010). [CrossRef]   [PubMed]  

4. R. Pafchek, R. Tummidi, J. Li, M. A. Webster, E. Chen, and T. L. Koch, “Low-loss silicon-on-insulator shallow-ridge TE and TM waveguides formed using thermal oxidation,” Appl. Opt. 48(5), 958–963 (2009). [CrossRef]   [PubMed]  

5. M. J. Loboda, C. M. Grove, and R. F. Schneider, “Properties of a-SiOx:H thin films deposited from hydrogen silsesquioxane resins,” J. Electrochem. Soc. 145(8), 2861–2866 (1998). [CrossRef]  

6. H. Namatsu, T. Yamaguchi, M. Nagase, K. Yamazaki, and K. Kurihara, “Nano-patterning of a hydrogen silsesquioxane resist with reduced linewidth fluctuations,” Microelectron. Eng. 41–42, 331–334 (1998). [CrossRef]  

7. C. W. Holzwarth, T. Barwicz, and H. I. Smith, “Optimization of hydrogen silsesquioxane for photonic applications,” J. Vac. Sci. Technol. B 25(6), 2658–2661 (2007). [CrossRef]  

8. W. Henschel, Y. M. Georgiev, and H. Kurz, “Study of a high contrast process for hydrogen silsesquioxane as a negative tone electron beam resist,” . [CrossRef]  

9. W. R. McKinnon, D. X. Xu, C. Storey, E. Post, A. Densmore, A. Delâge, P. Waldron, J. H. Schmid, and S. Janz, “Extracting coupling and loss coefficients from a ring resonator,” Opt. Express 17(21), 18971–18982 (2009). [CrossRef]   [PubMed]  

10. M. Borselli, T. J. Johnson, and O. Painter, “Accurate measurement of scattering and absorption loss in microphotonic devices,” Opt. Lett. 32(20), 2954–2956 (2007). [CrossRef]   [PubMed]  

11. J. Čtyroký, I. Richter, and M. Šiňor, “Dual resonance in a waveguide-coupled ring microresonator,” Opt. Quantum Electron. 38(9-11), 781–797 (2007). [CrossRef]  

12. S. Blaize, F. Gesuele, I. Stefanon, A. Bruyant, G. Lérondel, P. Royer, B. Martin, A. Morand, P. Benech, and J.-M. Fedeli, “Real-space observation of spectral degeneracy breaking in a waveguide-coupled disk microresonator,” Opt. Lett. 35(19), 3168–3170 (2010). [CrossRef]   [PubMed]  

13. P. Rabiei, W. H. Steier, C. Zhang, and L. R. Dalton, “Polymer micro-ring filters and modulators,” J. Lightwave Technol. 20(11), 1968–1975 (2002). [CrossRef]  

14. D. M. Shyroki, “Exact equivalent straight waveguide model for bent and twisted waveguides,” IEEE Trans. Microw. Theory Tech. 56(2), 414–419 (2008). [CrossRef]  

Cited By

Optica participates in Crossref's Cited-By Linking service. Citing articles from Optica Publishing Group journals and other participating publishers are listed here.

Alert me when this article is cited.


Figures (7)

Fig. 1
Fig. 1 Etch-free fabrication process for creating silicon waveguides in SOI.
Fig. 2
Fig. 2 (a) Thick HSQ after processing in standard exposure and development recipe which results in a clogged waveguide/ring gap due to proximity effects. Note that the sidewalls are also sloping. (b) Vertical sidewalls and clear gap as a result of using a high contrast HSQ development recipe.
Fig. 3
Fig. 3 Different waveguide profiles obtained by changing the oxidation time and mask thickness: (a) Rib waveguide. (b) Buried channel waveguide.
Fig. 4
Fig. 4 (a) Normalized transmission through etch-free ring loaded waveguide. (b) Resonant dip at 1598nm with FWHM of 1.3pm. (c) Resonance at 1604nm exhibits mode splitting with FWHM of 1.1pm.
Fig. 5
Fig. 5 Waveguide profile (a) and associated quasi-TE mode (b) for the loaded ring resonator. The upper cladding of the waveguide was removed with a wet oxide etch to facilitate the profile measurement.
Fig. 6
Fig. 6 Calculated loss corresponding to each of the resonant dips shown in Fig. 4. The lowest measured loss of 0.35dB corresponds to the 1.1pm double resonance dip in Fig. 4(b) and corresponds to an intrinsic Q factor of 1.57 × 106. The error bars are calculated assuming ± 100fm error in measuring the FWHM.
Fig. 7
Fig. 7 Estimated bending loss of waveguide profile shown in Fig. 5.
Select as filters


Select Topics Cancel
© Copyright 2024 | Optica Publishing Group. All rights reserved, including rights for text and data mining and training of artificial technologies or similar technologies.