DOI QR코드

DOI QR Code

Modeling and Analysis of High Speed Serial Links (SerDes) for Hybrid Memory Cube Systems

하이브리드 메모리 큐브 (HMC) 시스템의 고속 직렬 링크 (SerDes)를 위한 모델링 및 성능 분석

  • Received : 2017.04.03
  • Accepted : 2017.07.12
  • Published : 2017.08.31

Abstract

Various 3D-stacked DRAMs have been proposed to overcome the memory wall problem. Hybrid Memory Cube (HMC) is a true 3D-stacked DRAM with stacked DRAM layers on top of a logic layer. The logic die is mainly used to implement a memory controller for HMC, and it is connected through a high speed serial link called SerDes with a host that is either a processor or another HMC. In HMC, the serial link is crucial for both performance and power consumption. Therefore, it is important that the link is configured properly so that the required performance should be satisfied while the power consumption is minimized. In this paper, we propose a HMC system model included the high speed serial link to estimate performance accurately. Since the link modeling strictly follows the link flow control mechanism defined in the HMC spec, the actual HMC performance can be estimated accurately with respect to each link configuration. Various simulations are conducted in order to deduce the correlation between the HMC performance and the link configuration with regard to memory utilization. It is confirmed that there is a strong correlation between the achievable maximum performance of HMC and the link configuration in terms of both bandwidth and latency. Therefore, it is possible to find the best link configuration when the required HMC performance is known in advance, and finding the best configuration will lead to significant power saving while the performance requirement is satisfied.

Keywords

References

  1. G.H. Loh, "3D-Stacked Memory Architectures for Multi-Core Processors," Proceedings of International Symposium on Computer Architecture, pp. 453-464, 2008.
  2. U. Kang, H.J. Chung, S. Heo, D.H. Park, H. Lee, J.H. Kim, S.H. Ahn, S.H. Cha, J. Ahn, D. Kwon, J.W. Lee, H.S. Joo, W.S. Kim, D.H. Jang, N.S. Kim, J.H. Choi, T.G. Chung, J.H. Yoo, J.S. Choi, C. Kim, and Y.H. Jun, "8 Gb 3-D DDR3 DRAM Using Through-Silicon-Via Technology," IEEE Journal of Solid-State Circuits, Vol. 45, No. 1, pp. 111-119, 2010. https://doi.org/10.1109/JSSC.2009.2034408
  3. D.H. Woo, N.H. Seong, D.L. Lewis, and H.H.S. Lee, "An Optimized 3D-Stacked Memory Architecture by Exploiting Excessive, High-Density TSV Bandwidth," Proceedings of International Symposium on High-Performance Computer Architecture, pp. 1-12, 2010.
  4. C. Nimmagadda, D. Lisk, and R. Radojcic, "3D Stacking: Where the Rubber Meets the Road," Proceedings of IEEE International Conference on IC Design & Technology, pp. 1-3, 2012.
  5. J.S. Kim, C.S. Oh, H. Lee, D. Lee, H.R. Hwang, S. Hwang, B. Na, J. Moon, J.G. Kim, H. Park, J.W. Ryu, K. Park, S.K. Kang, S.Y. Kim, H. Kim, J.M. Bang, H. Cho, M. Jang, C. Han, J.B. Lee, K. Kyung, J.S. Choi, and Y.H. Jun, "A 1.2V 12.8GB/s 2Gb mobile Wide-I/O DRAM with 4$\times$128 I/Os using TSV-based stacking," Proceedings of IEEE International Solid-State Circuits Conference, pp. 496-498, 2011.
  6. JEDEC standard, "Wide I/O 2 (WideIO2) - JESD229-2," Jedec Solid State Technology Association, 2014.
  7. JEDEC standard, "High Bandwidth Memory (HBM) DRAM - JESD235," Jedec Solid State Technology Association, 2013.
  8. J. Jeddeloh and B. Keeth, "Hybrid Memory Cube new DRAM Architecture Increases Density and Performance," Proceedings of Symposium on VLSI Technology, pp. 87-88, 2012.
  9. J. Ahn, S. Yoo, O. Mutlu, and K. Choi, "PIM-Enabled Instructions: A Low-Overhead, Locality-Aware Processing-in-Memory Architecture," Proceedings of International Symposium on Computer Architecture, pp. 336-348, 2015.
  10. D. Kim, J. Kung, S. Chai, S. Yalamanchili, and S.aibal Mukhopadhyay, "Neurocube: A Programmable Digital Neuromorphic Architecture with High-Density 3D Memory," Proceedings of International Symposium on Computer Architecture, pp. 380-392, 2016.
  11. P. Rosenfeld, "Performance Exploration of the Hybrid Memory Cube," University of Maryland, 2014.
  12. S.H. Pugsley, J. Jestes, R. Balasubramonian, V. Srinivasan, A. Buyuktosunoglu, A. Davis, and F. Li, "Comparing Implementations of Near-Data Computing with In-Memory MapReduce Workloads," IEEE Micro, Vol. 34, pp. 380-392, 2014.
  13. D. Resnick, "Opportunities to Upgrade Main Memory," Proceedings of International Symposium on Memory Systems, pp. 55-59, 2015.
  14. S.H. Pugsley, J. Jestes, H. Zhang, R. Balasubramonian, V. Srinivasan, A. Buyuktosunoglu, A. Davis, and F. Li, "NDC: Analyzing the impact of 3D-stacked memory+logic devices on MapReduce workloads," Proceedings of IEEE International Symposium on Performance Analysis of Systems and Software, 2014.
  15. J. Ahn, S. Hong, S. Yoo, O. Mutlu, and K. Choi, "A Scalable Processing-in-Memory Accelerator for Parallel Graph Processing," Proceedings of International Symposium on Computer Architecture, pp. 105-117, 2015.
  16. Analog Bits, "28nm SERDES Product Brief," Analog Bits Inc. white paper, 2011.
  17. Hybrid Memory Cube Consortium, "Hybrid Memory Cube Specification 2.1," 2014.
  18. Y. Joo, M. Kim, I. Han, and S. Lim, "Cache Simulator Design for Optimizing Write Operations of Nonvolatile Memory Based Caches," IEMEK J. Embed. Sys. Appl., Vol. 11, No. 2, pp. 87-95, 2016 (in Korean). https://doi.org/10.14372/IEMEK.2016.11.2.87
  19. D.I. Jeon and K.S. Chung, "CasHMC: A Cycle-accurate Simulator for Hybrid Memory Cube," IEEE Computer Architecture Letters, 2016.
  20. T. Austin, E. Larson, D. Ernst, "SimpleScalar: An Infrastructure for Computer System Modeling," IEEE Computer, Vol. 35, No. 2, pp. 59-67, 2002. https://doi.org/10.1109/2.982917
  21. J.L. Henning, "SPEC CPU2006 Benchmark Descriptions," ACM SIGARCH Computer Architecture News, Vol. 34, pp. 1-17, 2006.