Skip to content
BY-NC-ND 3.0 license Open Access Published by De Gruyter January 21, 2016

State of the art of metal oxide memristor devices

  • Baker Mohammad

    Baker Mohammad earned his PhD from the University of Texas at Austin in 2008, his MS from Arizona State University, Tempe, and BS from the University of New Mexico, Albuquerque, all in Electrical and Computer Engineering (ECE). Baker is Associate Professor of electronic engineering at Khalifa University and a consultant for Qualcomm Incorporated. Prior to joining Khalifa University, Baker had over 16 years of industrial experience working for Intel and Qualcomm in microprocessor design with emphasis on memory, low-power circuit, and physical design. His research interest includes power-efficient computing, high-yield embedded memory, emerging technology such as memristor, Spin-torque-Transfer Random Access Memory (STTRAM), and computer architecture. In addition, he is engaged in micro-watt range computing platform for Wireless Sensor Node (WSN) focusing on energy harvesting and power management including efficient DC/DC and AC/DC convertors.

    EMAIL logo
    , Maguy Abi Jaoude

    Maguy Abi Jaoude received her PhD in Analytic Chemistry Sciences from the University of Lyon 1, France, in 2011. Upon graduation, she was appointed as adjunct faculty teacher and researcher at the Sciences and Technology College of the University of Lyon 1, France. Since 2013, she has been working as an Assistant Professor of chemistry at Khalifa University of Sciences, Technology and Research (KUSTAR) in Abu Dhabi, UAE. Her research at KUSTAR covers a wide spectrum of applied materials chemistry and separation sciences, with a focus on environmental discipline. Her research interests include the development of thin films, membranes, and porous materials based on the chemistry of metal oxides and high-performance polymers.

    , Vikas Kumar

    Vikas Kumar received his Master’s degree from Masdar Institute of Science and Technology, UAE, in 2014. Currently, he is working as a Research Associate at Khalifa University on fabricating low-cost nanoscale and microscale nanoparticle-based memristor devices and investigating different materials for appropriate application. His research interest covers a wide area of novel material investigation for memristor devices, photodetectors, and photovoltaics.

    , Dirar Mohammad Al Homouz

    Dirar Mohammad Al Homouz is an Assistant Professor of Physics in the Department of Applied Mathematics and Sciences at Khalifa University. He obtained his PhD degree from the University of Houston, TX, USA, in 2007. Dr. Homouz’s current research is in the area of computational biophysics where he uses molecular dynamics simulations to model protein folding in cell-like environment. Dr. Homouz has also an interest in interdisciplinary research such as modeling memristive devices for use in hybrid CMOS memory applications.

    , Heba Abu Nahla

    Heba Abu Nahla is a PhD student in the Department of Electrical and Computer Engineering at Khalifa University. She is expected to graduate in 2016. Heba’s current research focuses on building and verifying memristor models to guide the fabrication process. She is also interested in fabricating wet process memristor devices and proposes memristor-based security approaches.

    , Mahmoud Al-Qutayri

    Mahmoud Al-Qutayri received his PhD from the University of Bath, UK, in 1992, his MSc from the University of Manchester, UK, in 1987, and his B. Eng. from Concordia University, Canada, in 1984, all in Electrical and Computer Engineering. He joined Khalifa University, UAE, in 1996, where he is currently a full Professor of ECE and the Associate Dean for graduate studies at the College of Engineering. Prior to joining Khalifa University, Dr. Al-Qutayri held a number of academic appointments including senior lecturer at De Montfort University, UK, and Research Office at University of Bath, UK. Dr. Al-Qutayri’s diverse research interests include low-power embedded systems, wireless sensor networks, cognitive radio, and hardware security.

    and Nicolas Christoforou

    Nicolas Christoforou is an Assistant Professor of Biomedical Engineering at Khalifa University (Abu Dhabi, UAE) and an adjunct Assistant Professor of Biomedical Engineering at Duke University (Durham, NC, USA). Nicolas earned his PhD from the Johns Hopkins University Medical School in 2005 and his BA in Chemistry and Biology from Wittenberg University in 1999. Prior to joining Khalifa University in 2011, Dr. Christoforou was a senior research scientist in the laboratory of Dr. Kam Leong at Duke University. His research interests primarily focus on cardiac, vascular, and skeletomuscular tissue engineering and their application in regenerative medicine and the development of organotypic models of human physiology and pathophysiology.

From the journal Nanotechnology Reviews

Abstract

Memristors are one of the emerging technologies that can potentially replace state-of-the-art integrated electronic devices for advanced computing and digital and analog circuit applications including neuromorphic networks. Over the past few years, research and development mostly focused on revolutionizing the metal oxide materials, which are used as core components of the popular metal-insulator-metal memristors owing to their highly recognized resistive switching behavior. This paper outlines the recent advancements and characteristics of such memristive devices, with a special focus on (i) their established resistive switching mechanisms and (ii) the key challenges associated with their fabrication processes including the impeding criteria of material adaptation for the electrode, capping, and insulator component layers. Potential applications and an outlook into future development of metal oxide memristive devices are also outlined.

1 Introduction

Progress in the field of semiconductor electronics continues to have profound influence on human society. In particular, this has led to an unprecedented growth in the information communication technology field, as well as virtually every other field of engineering and technology. Hence, the demand for faster and more efficient information processing systems continues to increase at a high rate. Typical trending is primarily driven by the quest to create a ubiquitously connected world and the realization of the internet of things. Since the establishment of Moore’s law in the 1960s, device evolution can be mainly traced by incessant micro-sizing to reach higher processing speed and to facilitate the production of sizeable packing densities at lower fabrication costs. Particularly, “memory” constitutes nowadays more than 60% of the modern processor area, which makes it a major target for device miniaturization. Microprocessors today provide unmatched computing power owing to state-of-the-art complementary metal-oxide-semiconductor (CMOS) technology, which ultimately enables the so-called “non-volatile memory”. Nonetheless, further projection of the current CMOS design to satisfy the growing needs for higher processing capacity and larger data size while miniaturizing device scale has now reached its practical limits. Key challenges arise from extending the performance capstone of actual devices in terms of leakage current, power consumption, and switching speed, when the main constraint is often attributed to structure, outside the peculiar capabilities of the CMOS material itself [1]. Hence, technological breakthroughs pushing forward novel device configurations are highly desirable to achieve new scalable platforms that would outperform the classic CMOS design. Nowadays, researchers in the field of micro or nano electronics are focusing their attention on “memristor” technology as a viable alternative to early CMOS-based approach for device miniaturization. The concept of a “memristor” (or memory-resistor) device was initially interpreted by Leon Chua in 1971, as the fourth fundamental circuit element based on symmetry arguments [24]. The device was proposed as a missing passive element that could link the magnetic flux to the electric charge, a property that cannot be obtained by any combination of the other three fundamental elements, namely, the resistor, the capacitor, and the inductor. An elementary memristor can be perceived as a two-terminal device with a sandwiched metal/insulator/metal (MIM) structure, which is generally integrated in an elementary crossbar circuit, as illustrated in Figure 1. Typical configuration allows for smaller interconnection and higher composite density than the one achieved using conventional three-terminal transistors [5]. Another peculiar feature of a memristor is its memory function, which originates from a resistance state that the device remembers after being subjected to an electric potential difference over a certain time. Although the theory of memristive switching was introduced 40 years ago [3, 4], interpretation of the driving mechanism only appeared two decades later and remains obscure to date [6]. The first clear connection between Chua’s theory and the practical demonstration of a memristor device was achieved by Hewlett-Packard Labs in 2008, when scientists observed a memristive behavior at the nanoscale level using thin-film titanium dioxide as insulator layer [5]. With a simple mathematical model, researchers at HP Labs were further able to demonstrate that the memristance phenomenon arises naturally in nanoscale systems. HP prototype memristors have been shown to store data, process logic at nanoscale footprint, exhibit long retention time, and offer fast, non-volatile, and low-power electrical switching [1, 5]. Memristors continue to stir up a continuous worldwide research market growth as promising alternatives to classic CMOS devices, owing to their potential scalability and low power consumption for memory applications. While interest in memristive devices is steeply increasing (Figure 2), successful commercialization of this technology requires robust and predictive understanding of its fundamental mechanisms [7]. Impeding difficulties on correlating basic mathematical models with performance data collected out of physical devices are viewed as the main barrier for practical implementation of memristors in a wide variety of applications. One of the most complicated processes to understand and control at the molecular view is the electrical switching mechanism as a function of physical core parameters including (i) the chemistry of materials and (ii) the commonly neglected stochastic and interfacial phenomena arising between the sandwiched layers of the device upon physical contact or during electrical operation [1]. Access to such contained information to provide better description of the mechanistic operation of physical memristor devices would hence require thorough investigations of the physico-chemical properties of the materials configured down to nanoscale levels.

Figure 1: Schematic of memristor device structure with metal/insulator/metal (MIM) configuration.
Figure 1:

Schematic of memristor device structure with metal/insulator/metal (MIM) configuration.

Figure 2: Cumulative publication per year from 2008 to 2014. The number of publications is obtained by searching the following keywords: memristor, RRAM, and resistive switching from the header “Topic” of the Web of Science electronic site https://webofknowledge.com/.
Figure 2:

Cumulative publication per year from 2008 to 2014. The number of publications is obtained by searching the following keywords: memristor, RRAM, and resistive switching from the header “Topic” of the Web of Science electronic site https://webofknowledge.com/.

Hence, this review article aims to present an overview of the recognized ion-transport resistive switching mechanism in metal oxide memristors. Focus is placed on distinguishing between the physical and chemical processes that underlie the functionality of anionic and cationic devices, to explain the bipolar and unipolar switching behavior observed in each case. The review also aims to provide a description of existing device configurations to highlight the implication of bulk and interfacial properties of the elementary device materials onto the overall memristive performance characteristics. The mapping covers the physical and chemical angle to provide guidance on the selection criteria of key components involving electrodes, capping, and switching metal oxide materials, including fabrication processes for the purpose of device-design optimization. The review concludes with various potential applications anticipated for metal oxide memristors, with an outlook on the pending challenges observed on their integration into the semiconductor market.

2 Switching mechanism

The first switching mechanisms were elucidated in the late 1990s with a wide variety of oxide systems [710]. Nowadays, common studies depict the memristive switching behavior based on a popular thin-film MIM configuration, where the insulator layer is composed of one or more metal oxides with semiconducting properties [7]. To act as memristor, a physical MIM device must exhibit a range of internal resistive states, which are tunable in a quasi-stable manner. Different factors play a key role on defining the instantaneous resistive state of the device, of which the applied electric field and the compliance current can be externally manipulated during device characterization. Other restricted synergistic determinants, including (i) electron mobility, (ii) gradient of species concentrations, and (iii) gradient of temperature within the insulator region, closely depend on the solid-state properties of the semiconducting material (i.e. lattice defects) and hence require the modification of the fabrication process for further tuning.

The commonly asserted model for resistive switching in metal oxide memristors is the formation and rupturing of conductive filaments inside the active layer, which cause the device to shift from the “Off” state to the “On” state and vice versa. The existence of one or more filaments between the two electrode terminals creates a low-resistance state (LRS), while the absence of these filaments generates a high-resistance state (HRS) (Figure 3). Suggested explanations for this model mostly involve two main resistive switching mechanisms: (i) the valence change memory (VCM) and (ii) the electrochemical metallization (ECM) memory. The valence change process particularly builds on induced anion migration that progressively modifies the stoichiometry of the insulator region via oxidation-reduction reactions. The ECM mechanism relies on the oxidative interfacial dissolution of an active metal electrode, followed by subsequent cation migration across an ion-conducting electrolyte layer, acting as an insulator [11]. In some cases, a thermochemical process is also described in addition to these two main mechanisms, to further justify some structural and stoichiometric modification changes in the insulator layer as a result of current-induced thermal effects [12, 13].

Figure 3: Schematics of resistive switching according to a filamentary conduction model. (A) Native insulator (HRS); (B) creation of conductive channels via electroforming; (C) conductive filaments in a Set process (On state, HRS to LRS transition); and (D) filament rupture in a Reset process (Off state, LRS to HRS transition).
Figure 3:

Schematics of resistive switching according to a filamentary conduction model. (A) Native insulator (HRS); (B) creation of conductive channels via electroforming; (C) conductive filaments in a Set process (On state, HRS to LRS transition); and (D) filament rupture in a Reset process (Off state, LRS to HRS transition).

2.1 VCM resistive switching mechanism – anionic devices

Evidence of resistive switching was first demonstrated with thin film/metal oxide/metal sandwiches half a century ago [14, 15]. Considerable effort has been made since to study the switching mechanism in a variety of oxide systems, ranging from simple binary transition metal oxides (e.g. HfO2, TiO2, ZnO, Nb2O5, Ta2O5, MoO, WO, MnO, NiO, and CuO) to perovskites (e.g. SrTiO3, Ba0.7Sr0.3TiO3, SrZrO3, and BiFeO3) and transparent conducting oxides, such as SnO2 and indium tin oxide (ITO) [10, 1622]. The fundamental mechanism agreed for switching in these oxides, which are classified as “anionic devices”, relies on the migration of oxygen anion species under an external electric field.

In most cases, an electroforming step is required before reproducible device switching can be detected at lower voltage values [22]. The electroforming process (soft or hard) [23] is usually achieved by applying a large electrical bias across the two terminals of the memristor device within a certain time interval, in order to generate initial conductive channels via Joule heating effect. The forming step can be suppressed by appropriately modifying the fabrication process to readily introduce oxygen vacancies in order to facilitate the migration of anions within the switching layer [24].

Understanding the filament formation theory in relation with the external electric field applied and with the local generation of Joule heating is still one of the major complexities to unravel in the valence change model. The following factors are suggested to explain the driving force of anion transport during filament formation: (i) drift by electric potential gradient, (ii) electro-migration assuming an electron kinetic energy, (iii) Fick diffusion due to ion-concentration gradient, and (iv) thermophoresis due to temperature gradient [1]. The valence change pathway in metal oxide memristors is often described by a movement of oxygen species that alters the stoichiometry of insulator sub-lattice, resulting in a concentration gradient of mobile anion species due to parallel vacancy formation in the opposite direction. In most cases, an under-stoichiometric region characterized by several conducting filaments is hence formed, such as the Magnéli phase (MnO2n-1), which is often reported with titanium dioxide (TiO2) memristors [25].

In order to modulate the resistive switching in anionic devices, naturally existing or initially formed conductive filaments can be further tuned by controlling the magnitude of external electric field applied [2628].

2.2 ECM resistive switching mechanism – cationic devices

The ECM mechanism is usually described in MIM devices involving an electrochemically active electrode (AE), such as Ag or Cu, and a noble counter electrode (CE), such as Pt, Au or W [2932]. Similar to anionic devices, electrochemical switching in cationic devices is based on filament formation throughout the insulator material acting as solid electrolyte. Conductive channels usually form via the movement of dissolved metal cations from the interface of the electro-chemically active electrode into the insulator region. The need of a forming step is reported as common pre-requirement before observing reproducible resistive switching in several cationic systems. An assumption of structural changes induced in the electrolyte crystal during the forming step is made to explain the creation of conductive filaments via hosting nano template channels that serve as diffusion paths for the migrating metal actions [7, 11, 3335].

When an external electric field is applied, dissolved metal cations tend to move towards the inert counter electrode, leaving behind metal vacancies. Hence, gradual migration of metal cations decreases the effective thickness of the insulating layer owing to a progressive nucleation and growth of conductive filaments. For example, the following steps subsequently take place when a sufficient positive bias voltage is applied to a Cu electrode (AE), during the forming and Set processes (i.e. from HRS to LRS) of an Al/Cu/GeOx/W memristor [35]:

  1. Anodic dissolution of the Cu electrode (half-reaction oxidation process): Cu→Cu2++2e-;

  2. Migration of Cu2+ ions toward the inert tungsten electrode (CE), driven by external electric field and Joule heating. Ion movement is facilitated along rapid diffusion channels that are created by grain boundaries existing inside the GeOx semiconducting electrolyte crystal.

  3. Reduction and electro-crystallization of Cu2+ ions at the interface of the W counter electrode, leading to growth of nano-width Cu filaments (half reaction equation): Cu2++2e-→Cu;

Once the Cu filaments short circuit the GeOx to create a low-resistance metallic ion trail between AE and CE, the memristor device is switched On from HRS to LRS.

For a Reset process (i.e. from LRS to HRS), a negative voltage is applied to Cu (AE), which leads to dissolution/rupture of existing nano Cu metallic filaments due to oxidation (i.e. reversed redox process) and potential Joule heating effect. Hence, reversing the electrode polarity allows for flipping of the migration of dissolved Cu2+ ions back towards Cu (AE).

3 Switching behavior

Two different switching modes, (i) “unipolar” (or non-polar) and (ii) “bi-polar”, are generally recognized for anionic and cationic memristor devices. The schematics of I-V curve characteristic of unipolar and bipolar resistive switching are illustrated in Figure 4.

Figure 4: Schematics of I-V curve switching characteristics of memristors. (A) unipolar mode; (B) bipolar mode. cc, compliance current.
Figure 4:

Schematics of I-V curve switching characteristics of memristors. (A) unipolar mode; (B) bipolar mode. cc, compliance current.

In a unipolar mode (Figure 4A), the change in the resistance state only depends on the magnitude of applied voltage and not the polarity. The Set process (toward On state) is always established at higher voltage than that required for the Reset operation. The level of current reached at the Reset transition point is also greater than the compliance defined during the Set operation. In a bi-polar mode (Figure 4B), the use of opposite voltage polarities is a key requirement to switch the devices On (Set) and Off (Reset), respectively. Frequent asymmetry of the I-V curve characteristic is also observed with both switching modes and can be tailored through device fabrication or electrical forming [1].

Although the resistance switching is electrically induced in both modes, the concrete driving force is quite different, depending on the relative implication of the electric field and Joule heating on controlling the formation and stability of conductive channels. Generally speaking, a memristive switching tends to be unipolar when Joule heating effect dominates and bipolar when electric field effect is mainly involved [1].

3.1 Unipolar switching behavior

A plausible explanation for unipolar switching of metal oxide memristors is a fuse-antifuse mechanism relying on a filamentary model with the Joule heating effect as a key driving force for mass transfer. According to this view, the Set and Reset transitions are achieved, respectively via the thermally-induced formation and rupture of nano-width conductive filaments stretching over the entire oxide layer.

For instance, the formation of conductive filaments in unipolar anionic switches (i.e. Pt/TiO2/Pt/Au) is attributed to a steep gradient of inner device temperature at the threshold Set or forming voltage, leading to thermophoresis and/or oxygen ion diffusion within the insulator region [13]. A thermal mapping investigation of the Reset transition of unipolar Pt/NiO/Pt anionic devices suggests that filament rupture occurs by heat-induced solid phase dissolution of oxygen species at very high current densities (strictly beyond the initially set compliance current) [12, 36].

Unipolar resistive switching is rarely observed with cationic devices, since the heat-induced migration mechanism is not yet fully developed within the ECM theory. General observations only imply the dependence of the ROn resistance range of unipolar devices on the conductivity of the insulator layer before thermal breakdown. Only few examples of temperature-dependent switching studies of unipolar cationic systems (i.e. Cu/Ta2O5/Pt and Cu/Cu-doped-ZrO2/Pt) suggest an assistant thermal-diffusion path of metallic species that contributes to the Reset event [37, 38]. Furthermore, the geometry of conductive filaments and their growth dynamics remains disputed. For example, a recent in situ electronic imaging of devices under programming suggested that cationic conducting channels may be composed of nano-island structures rather than co-continuous filaments [39, 40]. Hence, further experimental studies are necessary to clarify the directionality of metallic migration in cationic devices and the level of implication of the inert or active electrode interface on inducing and defining the dynamics of filament rupture in the Reset process.

3.2 Bipolar switching behavior

Bipolar resistive switching is observed in most metal oxide cationic devices and in similar anionic systems. It is often associated with a nano-ionic transport mechanism that is governed by redox equilibria and is mainly driven by an external field.

The classic polarity dependence fingerprint of a bipolar switching regime of cationic switches is reasonably explained by the ECM theory. The formation of conductive channels during the Set transition requires a positive bias on the AE to release cations, which will be reduced into metallic filaments at the (inert) counter electrode surface. Reversing the polarity triggers the Reset event via backward oxidative dissolution of the metallic filaments, which induces the progressive destruction of the electrodes’ connectivity with existing conductive channels spanning the bulk solid electrolyte [11]. The dynamics of filament growth in cationic metal oxide devices showing a bipolar switching regime are rarely explored in the literature [7]. An example model is depicted in cationic devices (ECM) having a different insulator system when compared to metal oxides, such as in the case of Ag/Ag-GeSe/Pt electrochemical cell [41]. According to this model, metal filaments grow in a preferential direction of the active electrode (Ag) during the Set process (i.e. in a direction opposite to the migration of cations). Once the metallic filaments reach the active electrode surface, they create a galvanic metallic contact between the two electrodes, which allows the device to switch On. If a sufficient voltage of opposite polarity is applied, electrochemical dissolution of metal filaments will take place to Reset the device to its initial Off state.

Generally speaking, the switching speed of bipolar cationic devices (bipolar ECM) is mainly determined by the kinetics of the various electrochemical processes involved in the formation and rupture of conductive metallic filaments.

The underlying mechanism of bipolar switching in anionic systems is better explored in literature in regard to oxygen anion motion (VCM). A main interpretation of this phenomenon is that the viable resistance state of an anionic device depends on the oxygen affinity of the electrode metal and the height of the Schottky barrier formed at the electrode-active insulator junction [42, 43]. An important question concerns the typical electrode polarization in the Set and Reset processes. For a p-type semiconductor oxide (where holes are the majority charge carriers), few mobile oxygen ions exist near crystal defects involving grain boundaries (i.e. dislocations). When a positive voltage is applied to a terminal electrode having a high affinity towards oxygen species, mobile oxygen anions migrate (via drift or electro-migration) towards it and progressively accumulate in its proximity. The resulting abundant negative charge helps narrow the depletion region at the electrode interface, leading to a stable reduction of the electron potential energy barrier (or Schottky barrier height). When the interfacial depletion width is sufficiently narrowed down, assistive electron tunneling further minimizes the contact resistance until the device is switched On (HRS to LRS). For the Reset process, a negative bias is applied to the same terminal electrode, to create an opposite phenomenon via electrostatic repulsion, which forces the accumulated oxygen anions to move away from the electrode surface. An ion-transport recombination model is proposed by Gao et al. [44] to explain the migration of oxygen ion species back into the insulator bulk. According to this model, oxygen ions move away from the negatively polarized electrode and recombine with bulk oxygen vacancies through which conductive filaments eventually get destroyed, leading to a reset switching event (i.e. from LRS to HRS).

In regard to the dynamics of bipolar switching in anionic devices, linear (i.e. super-exponential) and non-linear I-V bipolar loops are generally recognized, depending on the implication level of the electric field into the kinetics of the ion-transport mechanism and into the observed current (in addition to dissipated power and heat) [4549]. Practically, there is no sharp switching threshold voltage defined for memristive devices, due to their large dependence on the smallest variability of memristor chemistry, mainly stemming out of the different fabrication processes explored. It is important to mention that nonlinearity in ionic transport behavior is substantial for simultaneously achieving fast switching speeds and long retention times in memristive devices [5053].

3.3 Mixed bipolar/unipolar switching behavior

Several metal oxide systems including those based on transition metal elements show atypical co-existent bipolar and unipolar resistive switching. Examples of these oxides include TiOx [16, 54], ZrOx [55, 56], MoOx [57], AlOx [58, 59], and HfO2 [60, 61]. In these devices the external current is the crucial factor determining whether the device will be in a bipolar switching regime (usually at low current) or in a unipolar mode (usually at high current due to Joule heating). The main reason for this mixed behavior is yet unclear but can possibly be explained in terms of formation and rupturing of conductive filaments. At low currents, it is difficult to generate an optimal temperature that allows the rupture of conductive filaments, whereas by applying small current it may be possible to drift the oxygen vacancy towards the formation of conductive filaments. A compliance current dependency is particularly believed to affect the switching regime in some of those devices. An example study carried on TiO2 thin films describes a resistive bipolar switching regime at low current range and unipolar switching characteristics at a greater value of compliance current [54].

4 Effect of electrodes

The impact of the electrode material on the resistive switching of memristive devices is extensively reported as one of the crucial factors in device fabrication, due to potential chemical interplay existing at the contact surface with the active material [6264]. For example, cation inter-diffusion, lack of phase stability, and interfacial reactions involving vacancy migration from the electrode surface towards the insulating layer should be critically examined during the electrode selection process. Typical side interactions usually dictate how the device will behave after a prolonged period of operation and are primarily dependent on the electrode work function (i.e. electron removal ionization energy), in addition to structural similarities with the insulating layer sub-lattice (i.e. elemental size and crystal phase) [5255].

It is important to mention that the work function criterion must be carefully interpreted since it is usually highly sensitive towards crystal orientation and hence the ways of measurements for the pure electrode material. The effective work function is also completely altered by the nearby composition of the contact surface, which mainly evolves from the electrode deposition process and from interfacing inside the sandwiched MIM structure [6567].

Figure 5 summarizes the absolute work function of a wide variety of native metals and semiconductor materials including those considered in memristor electrode stacks such as aluminum (Al), titanium (Ti), copper (Cu), nickel (Ni), noble metals like platinum (Pt), gold (Au), and ruthenium (Ru), and metal nitrides (TiN, AlN) [68, 69]. A low work function and high oxygen affinity of the electrode material is sometimes regarded as substantial for reducing the forming voltage. For instance, Cagli et al. [70] concluded that a Ti electrode, which is considered as a strong oxygen getter (acceptor), effectively reduces the forming voltage of HfO2 memristors by sourcing out interfacial oxygen atoms leading to sub-stoichiometric HfOx regions within the bulk switching film. Nevertheless, it is widely accepted that high work function elements can easily block ion transport and would be more suited for electrode materials to minimize side interactions that may cause irreversible changes within the memristor switching mechanism, ultimately impacting the device’s endurance.

Figure 5: Native work function of various metal and semiconductor elements [68].
Figure 5:

Native work function of various metal and semiconductor elements [68].

The impact of electrode nature on resistive switching has also been of extensive research for the late development of advanced atomic and molecular-scale electronics [63, 70]. In MIM two-terminal devices, the resistive switching is mainly observed when a positive voltage is applied to the top electrode resulting into higher-resistance state programming [71]. The location where each filament ruptures during the Reset operation seems to largely depend on the type of electrode material. For example, Cagli et al. [70] demonstrated that having top and bottom Pt electrodes leads to unipolar switching in HfO2 memristors, whereas mixed TiN-Pt or TiN-Ti electrode systems result in bipolar characteristics. The origin of filament rupture is not well established particularly for ECM (or cationic) devices. Example studies on HfO2 devices with Ti, TiN, or TiON top electrodes and Pt or Ru bottom electrodes showed that filament rupture occurs near the top electrode interface [7275], while other studies supported the implication of the bottom electrode at inducing the Reset process [71, 76, 77].

An example of real-time dynamic observation of conduction channels in Ag/ZrO2/Pt cationic system reveals the initiation of filament rupture at the interface between the conduction channel and the inert counter electrode Pt (acting as anode) [78]. More research is still needed to elucidate the fundamental nature of the switching regime of cationic devices from the microscopic point of view.

For ZnO memristive devices, the effect of metal electrodes on memristor switching behavior is explained in terms of differences observed on the active and electrode materials work function. Recently, Kumar and Baghini [79] demonstrated that a high work function electrode such as Pt can yield a more pronounced hysteresis curve compared to Cr, owing to larger difference between the Pt and ZnO work function. This observation was interpreted with the ability of a Pt/ZnO interface to form a Schottky contact and a depletion layer, which varies with applied external voltage, as opposed to non-switchable Cr/ZnO system [79]. However, when the Pt electrode was replaced with a similar work function material such as Au, a narrower hysteresis curve was recorded. In this case, the soft material properties of Au promote its diffusion into the ZnO interface, which results in the modification of the Schottky contact via the creation of Zn vacancies and the subsequent reduction of the ROff/ROn ratio of the device [79].

The area of the electrode contact is a frequently neglected synthetic parameter and could be an important target for further device optimization. The possible implication of the electrode area is assumed on distinguishing two different geometrical localizations of the switching event: (i) the single filament model and (ii) the area-distributed switching [11, 80]. Typical switching scenarios can be differentiated by measuring the area dependence of the low-resistance state. The On resistance would be completely independent of the electrode area when the Set event only requires the formation of a single filament [8082]. In this case, the remaining non-switching electrode area would be contributing to a parallel resistance, and the nanoscale size of the filament should hence be considered to determine the ultimate scaling limit of the device [18, 83]. When the switching occurs more or less homogeneously over the entire active layer, the On resistance is found to increase almost proportionally with the electrode area [84, 85]. Hence, scaling down should be considered to improve the ROff/ROn resistance ratio.

In summary, the electrode material properties like work function, oxygen affinity, and softness significantly affect the switching mechanism and the subsequent I-V dynamics of memristive devices. It is critically important to further understand the effect of the electrode material on other salient features of device operation, including retention time, endurance, Set and Reset voltages, and ROff/ROn ratios.

5 Effect of capping layer

The capping is often regarded as a thin buffer layer that can be placed between the top or bottom electrode and the active insulator matrix to improve the switching properties of the memristor devices (i.e. retention time, resistance range, and switching speed). The use of a capping layer is mostly reported with anionic devices where it essentially serves on enhancing the switching dynamics by promoting the diffusivity of oxygen species or vacancy carriers. Examples of capping materials include low-resistance metal oxides (i.e. ITO) [86] or metals of similar or different nature than that present in the insulator matrix (i.e. Ti, Zr, Al, and AlCu) [64, 71, 8791]. The affinity of the capping material towards oxygen anions particularly dictates the extent of vacancy migration and hence the speed at which conductive filaments are formed [90, 92]. For instance, it has been reported that a Ti over-layer is more adequate for capping interposed metal oxide – high-k dielectric stacks – than Al, owing to its higher oxygen-scavenging properties [93].

Studies on TiN/HfO2/TiN memristor reveal that the introduction of a thin Ti buffer layer into the MIM structure induces the formation of a TiOX/HfOX bi-layer, which increases the resistance range and improves the overall switching speed and endurance of the device when compared to the performance of a native switching material [87, 91].

The type of capping layer material also affects the HRS/LRS current ratios and the operation voltage window, in view of distinctive kinetics introduced on oxygen and vacancy-related trap formation and destruction. For TaN/(capping)/HfO2/Pt memristive device structure, studies show that Zr capping results into lower VSET/VRESET values, larger window between LRS and HRS current, and better HRS current stability at high temperatures (up to 110°C) than Ti capping [88].

Besides the oxygen affinity of capping layer, another important factor to consider is the bonding energy between the capping material and oxygen. Wang et al. [94] used a thermodynamic quantity, which is the molar Gibbs energy, to quantify the bonding between the capping material and oxygen atoms migrating from the active layer. The study concluded that capping materials with high molar Gibbs energy can hold oxygen atoms so tightly that it becomes difficult to rupture local conducting filaments during the Reset operation.

6 Insulating-layer materials in MIM memristors and fabrication processes

The selection of an appropriate active material is another crucial step in memristor device fabrication. A variety of factors including mainly the semiconducting properties and the inevitably associated Joule heating effects are decisive in the design of operational MIM stacking [95]. For the device to have resistive switching characteristics, it requires an active layer with dual conductive and insulating behavior [1]. Established manners that ensure the formation and rupture of conductive filaments in semiconducting metal oxide systems are (i) the usage of ready-made non-stoichiometric active materials [9699], (ii) doping the insulator with one or more metal or metal oxide [100, 101], and (iii) interfacing the insulator with a buffering agent layer [61, 87, 89, 102, 103], as illustrated in Figure 6.

Figure 6: Examples of MIM stacks considered in metal oxide memristor literature. (The relative sizes of the layers are for illustration purposes only.) (A) [96, 97]; (B) [98, 99]; (C) [100, 101]; (D) [61, 87, 89]; (E) [102]; (F) [103]; (G) [86].
Figure 6:

Examples of MIM stacks considered in metal oxide memristor literature. (The relative sizes of the layers are for illustration purposes only.) (A) [96, 97]; (B) [98, 99]; (C) [100, 101]; (D) [61, 87, 89]; (E) [102]; (F) [103]; (G) [86].

Active materials are generally categorized based on their anionic composition (i.e. oxides, tellurides, sulfides, and nitrides [22]), crystal structure (i.e. amorphous and perovskites [1]), and dimensionality (i.e. zero, one, and two with respect to nanoparticles [104] nanowires [105], and films [106]) but more prominently according to their unipolar and bipolar switching behavior [22, 107, 108]. Our particular focus on metal-oxide-based insulators is in view of their simplistic atomic structure, good thermal stability, compatibility with mature CMOS processing, and optimum switching characteristics [107, 109]. Tables 13 provide a mapping of important operational memristive characteristics of various physical MIM metal oxide systems reported in the literature. The devices are listed according to their bipolar/unipolar switching nature and according to their chemical composition [5961, 87, 89, 91, 96101, 110150]. Examples of relevant electrical performance descriptors include VSET and VRESET (or voltage sweep window), ROff/ROn ratio, switching speed, retention time, and endurance. Of the quantitative data collected, a large variability is generally observed on the electrical performance characteristics and behavior of metal oxide memristor devices, due to non-standardized testing conditions and high intrinsic implications of (i) historical processing operations, (ii) stack configurations and elementary compositions, and (iii) elaboration methods. For example, the fabrication of an active insulator layer can be performed via various techniques (Tables 13), which are generally based on (i) physical deposition, such as sputtering [3, 911, 19, 43, 113], electron beam evaporation [124, 129], pulsed-laser deposition [115], thermal evaporation [100], and electro-hydrodynamic printing [119, 120] or on (ii) chemical transformation such as atomic layer deposition (ALD) [16, 95, 112], ultrasonic spray pyrolysis [98], rapid thermal oxidation [101], plasma-enhanced molecular beam epitaxy [125], and sol-gel process [122, 151, 152]. Particularly, radio frequency (RF) sputtering is considered as the most popular approach for large area-uniform thin-film deposition, owing to its high yield with nano-metric thickness control capabilities and low cost of operation [107]. For advanced nanoscale fabrication, greater focus is made on ALD process, which further allows a chemically uniform deposition at one-atomic-scale resolution. The ALD route also allows for selective tuning of the material composition via the introduction of chemical dopants [153] and control of oxygen vacancy concentration [17]. Finally, the sol-gel process is regarded as the least expensive approach but is mainly useful for microscale engineering [152]. In conclusion, the growth temperature will be the decisive factor in determining the CMOS processing compatibility of metal oxide memristor devices. Any fabrication process anticipated of the above will certainly have a different implication on the thermo-mechanical stability and ion migration properties, which should be mapped against the cost of durability, scalability, and performance reproducibility of the devices.

Table 1

Examples of bipolar metal oxide memristors and their operational characteristics.

MaterialTE/BEVSET/VRESETΔR=ROff/ROnSwitching speedRetention timeEnduranceFabrication processReferences
ZnOAg/Cu1.2 V/-1.25 V1000>500 cyclesElectrohydrodynamic printing[110]
Pt/Pt1 V/-0.5 V10010 ms106 cyclesRF-magnetron sputtering[111]
TiO2Pt/Pt100ALD[112]
TiN/Pt+1 V/-1.5 V101 μs104 s104 cyclesRF-reactive sputtering[97]
TaN-TiN/TiN-TaN1.5 V/-1.5 V1.5102–103 cyclesSputtering[113]
Al/Al3 V/-2 V~50104 s100 cyclesPlasma-enhanced ALD[114]
LaOITO/SrTiO35 V/-1.6 V200>4×104 s2000 cyclesPulsed laser deposition[115]
TaOxPt/Pt10 years at 85°C109 cyclesSputtering[116]
W/Pt>10 years104 cyclesRF-magnetron sputtering[117]
NiOPt/Pt>10 V/<-10 V>104 sPulsed laser deposition[118]
Au/Au+5.2 V/-6 VElectrochemical plating[96]
HfO2TiN/TiN1.5 V/-1.4 V100<10 ns>500 min at 200°C>106 cyclesALD[93]
TiN/TiN>505 ns105 s at 200°C5×107 cyclesALD[61]
ZrO2ITO/Ag1 V/-1 V>10106 s at 27°C>50 cyclesElectrohydrodynamic printing[119]
Ag/Ag3 V/-3 V~100Electrohydrodynamic printing[120]
TiN/Pt0.8 V/-0.5 V104 s at 27°C103 cyclesRF-magnetron sputtering[121]
CeO2Au/Au2.4 V/-3 V104Sol-gel (drop-coating)[122]
AlOxAl or CNT/CNT105 s104 cyclesALD[123]
Cu/W1.3 V/-0.05 V500103 sE-beam evaporation[124]
Al2O3Ti/Pt1.4 V/-1.7 V<100010 ns104 sRF-magnetron sputtering[59]
Cu2O/CuOPt//Nb-STO105Plasma assisted molecular beam epitaxy[125]
Gd2O3ITO/ITO+2 V/-2 V103 cyclesPulsed laser deposition[126]
GdOxCr/TiN<+4 V/-4 V>703×104 s105 cyclesE-beam evaporation[127]
MnOTi/Pt0.7 V/-1.1 V104 s at 85°C105 cyclesRF-reactive sputtering[128]

TE, top electrode; BE, bottom electrode; “–”, data not found in the associated reference paper.

Table 2

Examples of bipolar mixed metal oxide memristors and their operational characteristics.

MaterialTE/BEVSET/VRESETΔR=ROff/ROnSwitching speedRetention timeEnduranceFabrication processReferences
Cu doped SiO2 bipolar-unipolarCu/W0.9 V/-0.75 V103/1065×104 s107 cyclesE-beam evaporation[129]
Cu doped ZrO2 bipolar-unipolarAu-Cu/Pt-Ti3.6 V/-1.5 V10650 ns (Reset→Set) 100 ns (Set→Reset)104 sThermal evaporation[100]
ZnO1-x/ZnO bilayer structurePt/Pt1.5 V/-0.6 V40>104 s100 cyclesSol-gel[130]
ZnO/NiOAu/n-Si8 V/-8 VUltrasonic spray pyrolysis[98]
ZnO/ZnWOx bilayer structurePt/W0.8 V/-0.6 V300>200 cyclesSputtering[131]
ZTOAl/Pt0.25 V/-0.85 V>1000>104 s>50 cyclesSol-gel (spin coating)[132]
TiO2/CuxOTi/Cu2.5 V/-1 V50 nsup to 30 hElectrochemical deposition[133]
HfLaOxTaN/Pt2.27 V/-1.81 V10610 ns104 s at 27°C104 cyclesALD[134]
MgO/CoOxPt/Au15 V/-3 V12.5>102 s108 cyclesPulsed laser deposition[135]
WSiOxPt/TiN2 V/-2 V105 s at 250°C105 cyclesRF-magnetron sputtering[136]
WSiOx/WSiONPt/TiN<105 at 85°C108 cyclesRF-magnetron sputtering[137]
Pt-dispersed SiO2Pt/Ta<100 ps>6 months>3×107 cyclesRF-magnetron sputtering[138]
Au doped HfO2Cu/Pt0.34 V/-0.9 V102RF-reactive sputtering[139]
TiOx/HfO2TiN/TiN1.5 V/-1.4 V>10005 ns10 years at 200°C>106 cyclesALD[93]
AlHfO2/CuCu/n+Si4 V/-6 V103104 sRF-magnetron sputtering[140]
AlCu/HfO2TiN/TiN<1 V/>-1 V<50 ns3×104 at 85°C105 cyclesALD[89]
AlOx/TaOxW/TiN>10 years at 85°C106 cyclesE-beam evaporation[141]
AlOx/WOxAl/W1.4 V/-0.8 V104 sRapid thermal oxidation (RTO)[99]
Nitrogen doped WOxTi/Pt2 V/-2 V104 s>102 cyclesRF-reactive sputtering[101]

TE, top electrode; BE, bottom electrode; ZTO, zinc tin oxide; “–”, data not found in the associated reference paper.

Table 3

Examples of unipolar metal oxide memristors and their operational characteristics.

MaterialTE/BEVSET/VRESETΔR=ROff/ROnSwitching speedRetention timeEnduranceFabrication processReferences
CoOxPt/Pt104 s103 cyclesRF-sputtering[142]
Co3O4Pt/Pt1.9 V/-0.52 V5×103Cold pressing[143]
CuOxAl/Cu–/0.7 V104 cyclesElectrochemical plating[144, 145]
Gd2O3Ti/Pt2.5 V/1.2 V102 cyclesPulsed laser deposition[146]
NiONobel Metals2×107 s1012 cyclesRF-sputtering or plasma oxidation[147]
SnO2Pt, Au,TI/Pt1.5–2 V/0.5–1 V104 s102 cyclesPulsed laser deposition[148]
HfO2Ni/TiN102 cyclesALD[63]
WOxTiN/W>50 ns104 s at 100°C107 cyclesRapid thermal oxidation[149]
Ti-embedded ZrO2Ti/Pt-1.2 V/-0.7 V105 s103 cyclesRF-sputtering[150]

TE, top electrode; BE, bottom electrode; “–”, data not found in the associated reference paper.

7 Prospective applications

Application areas of memristor devices, as illustrated in Figure 7, are numerous owing to their versatile nature in terms of elementary design structure and their CMOS fabrication compatibility along with their superior operational characteristics in terms of switching speed, retention rate, and endurance. One of the promising uses of memristor devices is “non-volatile memory” for computing. Table 4 summarizes some of the key parameters of existing commercial memory technologies and emerging ones [154]. As can be seen from the table, a memristor has the density of the dynamic random-access memory (DRAM) and the speed of the static random-access memory (SRAM), which makes it ideal for universal and Content Addressable Memory-type (CAM) memory [155]. The non-volatile nature of memristor also enables the zero-leakage power for memory and can be used as part of the power management unit in wireless sensor nodes [156, 157]. Memristor devices can also be used for analog applications including programmable analog circuits, analog filters, oscillators, and chaotic analog circuits [158, 159]. In particular, the introduction of memristor technology could help increase the linear range of analog amplifier circuits as opposed to traditional setups [155, 156, 160]. Memristor devices also have potential uses in digital logic applications supporting in-memory computing [161, 162]. While analog systems are constructed with memristors having continuous resistance change, digital applications require stable discrete resistance state [107]. One of the most promising digital applications of memristor devices is as field-programmable gate array (FPGA) [163]. A discrete FPGA architecture was reported by Cong et al. [164] where interconnections are designed only by memristors and show a reduction of up to 5.5 times and 1.6 times, respectively, on achievable device area and power requirement. Current developments are also being projected towards neuromorphic applications to span the cognitive computing [165167]. In a typical area, the memristor would be implemented as an electronic synapse, where it could mimic the electrical response of the elementary structures in the human brain, allowing for replacement of defective neurons. Until now, several non-volatile technologies have been tested as electronic synapse, such as resistive random-access memory (RRAM), ferroelectric tunneling junctions, and magnetic tunnel junctions [165]. To date, RRAM is the most reliable technology owing to its famous operation characteristics.

Figure 7: Potential pillar applications of memristor devices.
Figure 7:

Potential pillar applications of memristor devices.

Table 4

Key specifications of state-of-the-art commercial memory technologies vs. transpiring memristor device.

Available commercial technologiesTranspiring technology
DRAMFlash (NAND)Flash (NOR)SRAMMemristor
Cell density (F2)6–301–41–101404
Retention time>64 ms>10 years>10 yearsas long as voltage is applied>10 years
Endurance>1016 cycles>105 cycles105 cycles>1016 cycles>1012 cycles
Read time2 ns0.1 ms15 ns0.1–0.3 ns<2 ns
Feature size36 nm16 nm45 nm45 nm<5 nm
Device cell elementITIC1 T1 T6 T1 R/1 T 1 R

8 Conclusions

Memristor devices have a high potential in replacing some of the current technologies used for nonvolatile memory. However, there are still momentous challenges to overcome before this happens. Decisive factors are the integration and reliability of the device, which are substantial for industrial manufacturing. Device implementation currently waits for robust material processing and uniformity of engineered interfaces, since the device characteristics, such as retention, endurance, and switching speed are immensely affected by the fabrication process. The reliability of the memristor device also needs to be taken into consideration before it can be used at a large scale. Testing the device and characterizing its failure modes with respect to temperature and read and write cycles are so important from a quality control point of view. Another imposed challenge is to have in-depth understanding of the switching mechanism, which will allow for further structural and performance optimization of the device. Developing robust device models that truly reflect the operation of memristors and integrate them with electronic design automation tools is another major challenge. The described challenges have focused the attention of researchers across the various domains related to memristor, which span device fabrication through applications. The intensive research effort over the past few years, in particular, has resulted in many promising solutions that are shaping memristors into a viable future technology.

Funding: Khalifa University of Science, Technology and Research, (Grant/Award Number: ‘KUIRF2-2014-210066’).


Corresponding author: Baker Mohammad, Khalifa University of Science Technology and Research, PO Box 127788, Abu Dhabi, UAE, e-mail:

About the authors

Baker Mohammad

Baker Mohammad earned his PhD from the University of Texas at Austin in 2008, his MS from Arizona State University, Tempe, and BS from the University of New Mexico, Albuquerque, all in Electrical and Computer Engineering (ECE). Baker is Associate Professor of electronic engineering at Khalifa University and a consultant for Qualcomm Incorporated. Prior to joining Khalifa University, Baker had over 16 years of industrial experience working for Intel and Qualcomm in microprocessor design with emphasis on memory, low-power circuit, and physical design. His research interest includes power-efficient computing, high-yield embedded memory, emerging technology such as memristor, Spin-torque-Transfer Random Access Memory (STTRAM), and computer architecture. In addition, he is engaged in micro-watt range computing platform for Wireless Sensor Node (WSN) focusing on energy harvesting and power management including efficient DC/DC and AC/DC convertors.

Maguy Abi Jaoude

Maguy Abi Jaoude received her PhD in Analytic Chemistry Sciences from the University of Lyon 1, France, in 2011. Upon graduation, she was appointed as adjunct faculty teacher and researcher at the Sciences and Technology College of the University of Lyon 1, France. Since 2013, she has been working as an Assistant Professor of chemistry at Khalifa University of Sciences, Technology and Research (KUSTAR) in Abu Dhabi, UAE. Her research at KUSTAR covers a wide spectrum of applied materials chemistry and separation sciences, with a focus on environmental discipline. Her research interests include the development of thin films, membranes, and porous materials based on the chemistry of metal oxides and high-performance polymers.

Vikas Kumar

Vikas Kumar received his Master’s degree from Masdar Institute of Science and Technology, UAE, in 2014. Currently, he is working as a Research Associate at Khalifa University on fabricating low-cost nanoscale and microscale nanoparticle-based memristor devices and investigating different materials for appropriate application. His research interest covers a wide area of novel material investigation for memristor devices, photodetectors, and photovoltaics.

Dirar Mohammad Al Homouz

Dirar Mohammad Al Homouz is an Assistant Professor of Physics in the Department of Applied Mathematics and Sciences at Khalifa University. He obtained his PhD degree from the University of Houston, TX, USA, in 2007. Dr. Homouz’s current research is in the area of computational biophysics where he uses molecular dynamics simulations to model protein folding in cell-like environment. Dr. Homouz has also an interest in interdisciplinary research such as modeling memristive devices for use in hybrid CMOS memory applications.

Heba Abu Nahla

Heba Abu Nahla is a PhD student in the Department of Electrical and Computer Engineering at Khalifa University. She is expected to graduate in 2016. Heba’s current research focuses on building and verifying memristor models to guide the fabrication process. She is also interested in fabricating wet process memristor devices and proposes memristor-based security approaches.

Mahmoud Al-Qutayri

Mahmoud Al-Qutayri received his PhD from the University of Bath, UK, in 1992, his MSc from the University of Manchester, UK, in 1987, and his B. Eng. from Concordia University, Canada, in 1984, all in Electrical and Computer Engineering. He joined Khalifa University, UAE, in 1996, where he is currently a full Professor of ECE and the Associate Dean for graduate studies at the College of Engineering. Prior to joining Khalifa University, Dr. Al-Qutayri held a number of academic appointments including senior lecturer at De Montfort University, UK, and Research Office at University of Bath, UK. Dr. Al-Qutayri’s diverse research interests include low-power embedded systems, wireless sensor networks, cognitive radio, and hardware security.

Nicolas Christoforou

Nicolas Christoforou is an Assistant Professor of Biomedical Engineering at Khalifa University (Abu Dhabi, UAE) and an adjunct Assistant Professor of Biomedical Engineering at Duke University (Durham, NC, USA). Nicolas earned his PhD from the Johns Hopkins University Medical School in 2005 and his BA in Chemistry and Biology from Wittenberg University in 1999. Prior to joining Khalifa University in 2011, Dr. Christoforou was a senior research scientist in the laboratory of Dr. Kam Leong at Duke University. His research interests primarily focus on cardiac, vascular, and skeletomuscular tissue engineering and their application in regenerative medicine and the development of organotypic models of human physiology and pathophysiology.

References

[1] Yang JJ, Strukov DB, Stewart DR. Memristive devices for computing. Nat. Nanotechnol. 2013, 8, 13–24.10.1038/nnano.2012.240Search in Google Scholar PubMed

[2] Chua LO. MEMRISTOR – missing circuit element. IEEE Trans. Circuit Theory 1971, CT18, 507–591.10.1109/TCT.1971.1083337Search in Google Scholar

[3] Chua L. Resistance switching memories are memristors. Appl. Phys. A 2011, 102, 765–783.10.1007/s00339-011-6264-9Search in Google Scholar

[4] Chua LO, Kang SM. Memristive devices and systems. Proc. IEEE 1976, 64, 209–223.10.1109/PROC.1976.10092Search in Google Scholar

[5] Strukov DB, Snider GS, Stewart DR, Stanley Williams R. The missing memristor found. Nature 2008, 453, 80–83.10.1038/nature06932Search in Google Scholar PubMed

[6] Prodromakis T, Toumazou C, Chua L. Two centuries of memristors. Nat. Mater. 2012, 11, 478–481.10.1142/9789814434805_0041Search in Google Scholar

[7] Waser R, Aono M. Nanoionics-based resistive switching memories. Nat. Mater. 2007, 6, 833–840.10.1142/9789814287005_0016Search in Google Scholar

[8] Asamitsu A, Tomioka Y, Kuwahara H, Tokura Y. Current switching of resistive states in magnetoresistive manganites. Nature 1997, 388, 50–52.10.1038/40363Search in Google Scholar

[9] Kozicki M, Yun M, Hilt L, Singh A. Applications of programmable resistance changes in metal-doped chalcogenides. Electrochem. Soc: Pennington NJ USA, 1999, 298–309.Search in Google Scholar

[10] Beck A, Bednorz JG, Gerber Ch, Rossel C, Widmer D. Reproducible switching effect in thin oxide films for memory applications. Appl. Phys. Lett. 2000, 77, 139–141.10.1063/1.126902Search in Google Scholar

[11] Waser R, Dittmann R, Staikov G, Szot K. Redox-based resistive switching memories – nanoionic mechanisms, prospects, and challenges. Adv. Mater. 2009, 21, 2632–2663.10.1002/adma.200900375Search in Google Scholar

[12] Russo U, Lelmini D, Cagli C, Lacaita AL. Self-accelerated thermal dissolution model for reset programming in unipolar resistive-switching memory (RRAM) devices. IEEE Trans. Electron Dev. 2009, 56, 193–200.10.1109/TED.2008.2010584Search in Google Scholar

[13] Strukov D, Alibart F, Stanley Williams R. Thermophoresis/diffusion as a plausible mechanism for unipolar resistive switching in metal–oxide–metal memristors. App. Phys. A 2012, 107, 509–518.10.1007/s00339-012-6902-xSearch in Google Scholar

[14] Hickmott T. Low-frequency negative resistance in thin anodic oxide films. J. App. Phys. 1962, 33, 2669–2682.10.1063/1.1702530Search in Google Scholar

[15] Dearnaley G, Stoneham A, Morgan D. Electrical phenomena in amorphous oxide films. Rep. Prog. Phys. 1970, 33, 1129.10.1088/0034-4885/33/3/306Search in Google Scholar

[16] Choi B, Jeong DS, Kim SK, Rohde C, Choi S, Oh JH, Kim HJ, Hwang CS, Szot K, Waser R, Reichenberg B, Tiedke S. Resistive switching mechanism of TiO2 thin films grown by atomic-layer deposition. J. Appl. Phys. 2005, 98, 033715.10.1063/1.2001146Search in Google Scholar

[17] Seo S, Lee MJ, Seo DH, Jeoung EJ, Suh D-S, Joung YS, Yoo IK, Hwang IR, Kim SH, Byun IS, Kim J-S, Choi JS, Park BH. Reproducible resistance switching in polycrystalline NiO films. Appl. Phys. Lett. 2004, 85, 5655–5657.10.1063/1.1831560Search in Google Scholar

[18] Szot K, Speier W, Bihlmayer G, Waser R. Switching the electrical resistance of individual dislocations in single-crystalline SrTiO3. Nat. Mater. 2006, 5, 312–320.10.1038/nmat1614Search in Google Scholar PubMed

[19] Liu S, Wu N, Ignatiev A. Electric-pulse-induced reversible resistance change effect in magnetoresistive films. Appl. Phys. Lett. 2000, 76, 2749–2751.10.1063/1.126464Search in Google Scholar

[20] Quintero M, Levy P, Leyva AG, Rozenberg MJ. Mechanism of electric-pulse-induced resistance switching in manganites. Phys. Rev. Lett. 2007, 98, 116601.10.1103/PhysRevLett.98.116601Search in Google Scholar PubMed

[21] Choi BJ, Yang JJ, Zhang MX, Norris KJ, Ohlberg DAA, Kobayashi NP, Medeiros-Ribeiro G, Williams RS. Nitride memristors. Appl. Phys. A 2012, 109, 1–4.10.1007/s00339-012-7052-xSearch in Google Scholar

[22] Ha SD, Ramanathan S. Adaptive oxide electronics: A review. J. Appl. Phys. 2011, 110, 071101.10.1063/1.3640806Search in Google Scholar

[23] Menke T, Dittmann R, Meuffels P, Szot K, Waser R. Impact of the electroforming process on the device stability of epitaxial Fe-doped SrTiO3 resistive switching cells. J. Appl. Phys. 2009, 106, 114507.10.1063/1.3267485Search in Google Scholar

[24] Wang W, Fujita S, Simon Wong S. Elimination of forming process for TiOx nonvolatile memory devices. IEEE Electron. Device Lett. 2009, 30, 763–765.10.1109/LED.2009.2021003Search in Google Scholar

[25] Kwon D-H, Kim KM, Jang JH, Jeon JM, Lee MH, Kim GH, Li X-S, Park G-S, Lee B, Han S, Kim M, Hwang CS. Atomic structure of conducting nanofilaments in TiO2 resistive switching memory. Nat. Nanotechnol. 2010, 5, 148–153.10.1038/nnano.2009.456Search in Google Scholar PubMed

[26] Gomez-Marlasca F, Ghenzi N, Rozenberg MJ, Levy P. Understanding electroforming in bipolar resistive switching oxides. Appl. Phys. Lett. 2011, 98, 042901.10.1063/1.3537957Search in Google Scholar

[27] Yang JJ, Miao F, Pickett MD, Ohlberg DAA, Stewart DR, Lau CN, Williams RS. The mechanism of electroforming of metal oxide memristive switches. Nanotechnology 2009, 20, 215201.10.1088/0957-4484/21/33/339803Search in Google Scholar

[28] Jeong DS, Schroeder H, Breuer U, Waser R. Characteristic electroforming behavior in Pt/TiO2/Pt resistive switching cells depending on atmosphere. J. Appl. Phys. 2008, 104, 123716–123716-8.10.1063/1.3043879Search in Google Scholar

[29] Valov I, Waser R, Jameson JR, Kozicki MN. Electrochemical metallization memories – fundamentals, applications, prospects. Nanotechnology 2011, 22, 254003.10.1088/0957-4484/22/25/254003Search in Google Scholar PubMed

[30] Liu D, Cheng H, Zhu X, Wang G, Wang N. Analog memristors based on thickening/thinning of Ag nanofilaments in amorphous manganite thin films. ACS Appl. Mater. Interfaces. 2013, 5, 11258–11264.10.1021/am403497ySearch in Google Scholar PubMed

[31] Liu D, Wang N, Wang G, Shao Z, Zhu X, Zhang C, Cheng H. Programmable metallization cells based on amorphous La 0.79 Sr 0.21 MnO3 thin films for memory applications. J. Alloy. Comp. 2013, 580, 354–357.10.1016/j.jallcom.2013.06.095Search in Google Scholar

[32] Liu D, Wang N, Wang G, Shao Z, Zhu X, Zhang C, Cheng H. Nonvolatile bipolar resistive switching in amorphous Sr-doped LaMnO3 thin films deposited by radio frequency magnetron sputtering. Appl. Phys. Lett. 2013, 102, 134105.10.1063/1.4800229Search in Google Scholar

[33] Waser R. Nanoelectronics and Information Technology, John Wiley & Sons: New York, 2012.Search in Google Scholar

[34] Lu W, Jeong DS, Kozicki M, Waser R. Electrochemical metallization cells – blending nanoionics into nanoelectronics? MRS Bull. 2012, 37, 124–130.10.1557/mrs.2012.5Search in Google Scholar

[35] Maikap S, Rahaman S. Bipolar resistive switching memory characteristics using Al/Cu/GeOx/W memristor. ECS Trans. 2012, 45, 257–261.10.1149/1.3700961Search in Google Scholar

[36] Cagli C, Nardi F, Ielmini D. Modeling of set/reset operations in NiO-based resistive-switching memory devices. IEEE Trans. Electron. Dev. 2009, 56, 1712–1720.10.1109/TED.2009.2024046Search in Google Scholar

[37] Tsuruoka T, Terabe K, Hasegawa T, Aono M. Temperature effects on the switching kinetics of a Cu–Ta 2 O 5-based atomic switch. Nanotechnology 2011, 22, 254013.10.1088/0957-4484/22/25/254013Search in Google Scholar PubMed

[38] Guan W, Liu M, Long S, Liu Q, Wang W. On the resistive switching mechanisms of Cu/ZrO2:Cu/Pt. Appl. Phys. Lett. 2008, 93, 223506.10.1063/1.3039079Search in Google Scholar

[39] Yang Y, Gao P, Gaba S, Chang T, Pan X, Lu W. Observation of conducting filament growth in nanoscale resistive memories. Nat. Commun. 2012, 3, 732.10.1038/ncomms1737Search in Google Scholar PubMed

[40] Sakamoto T, Lister K, Banno N, Hasegawa T, Terabe K, Aono M. Electronic transport in Ta2O5 resistive switch. Appl. Phys. Lett. 2007, 91, 092110.10.1063/1.2777170Search in Google Scholar

[41] Kund M, Munich AG, Beitel G, Pinnow C-U, Rohr T, Schumann J, Symanczyk R, Ufert K-D, Muller G. Conductive bridging RAM (CBRAM): an emerging non-volatile memory technology scalable to sub 20 nm. In Electron Devices Meeting, 2005. IEDM Technical Digest. IEEE International, 2005.Search in Google Scholar

[42] Sawa A, Fujii T, Kawasaki M, Tokura Y. Colossal electro-resistance memory effect at metal/La2CuO4 interfaces. Jpn. J. Appl. Phys. 2005, 44, L1241.10.1143/JJAP.44.L1241Search in Google Scholar

[43] Liao ZL, Wang ZZ, Meng Y, Liu ZY, Gao P, Gang JL, Zhao HW, Liang XJ, Bai XD, Chen DM. Categorization of resistive switching of metal-Pr0. 7Ca0. 3MnO3-metal devices. Appl. Phys. Lett. 2009, 94, 253503.10.1063/1.3159471Search in Google Scholar

[44] Gao B, Yu S, Xu N, Liu LF, Sun B, Liu XY, Han RQ, Kang JF, Yu B, Wang YY. Oxide-based RRAM switching mechanism: a new ion-transport-recombination model. In Electron Devices Meeting, 2008. IEDM 2008. IEEE International, IEEE, 2008.10.1109/IEDM.2008.4796751Search in Google Scholar

[45] Yang JJ, Pickett MD, Li X, Ohlberg DAA, Stewart DR, Stanley Williams R. Memristive switching mechanism for metal//oxide//metal nanodevices. Nat. Nano. 2008, 3, 429–433.10.1038/nnano.2008.160Search in Google Scholar PubMed

[46] Miao F, Strachan JP, Yang JJ, Zhang MX, Goldfarb I, Torrezan AC, Eschbach P, Kelley RD, Medeiros-Ribeiro G, Williams RS. Anatomy of a nanoscale conduction channel reveals the mechanism of a high-performance memristor. Adv. Mater. 2011, 23, 5633–5640.10.1002/adma.201103379Search in Google Scholar PubMed

[47] Pickett MD, Strukov DB, Borghetti JL, Yang JJ, Snider GS, Stewart DR, Stanley Williams R. Switching dynamics in titanium dioxide memristive devices. J. Appl. Phys. 2009, 106, 074508.10.1063/1.3236506Search in Google Scholar

[48] Ielmini D, Nardi F, Balatti S. Evidence for voltage-driven set/reset processes in bipolar switching RRAM. IEEE Trans. Electron. Dev. 2012, 59, 2049–2056.10.1109/TED.2012.2199497Search in Google Scholar

[49] Menzel S, Waters M, Marchewka A, Böttger U, Dittmann R, Waser R. Origin of the ultra-nonlinear switching kinetics in oxide-based resistive switches. Adv. Funct. Mater. 2011, 21, 4487–4492.10.1002/adfm.201101117Search in Google Scholar

[50] Strukov D, Williams RS. Exponential ionic drift: fast switching and low volatility of thin-film memristors. Appl. Phys. A 2009, 94, 515–519.10.1007/s00339-008-4975-3Search in Google Scholar

[51] Victor VZ, Meade R, Cavin RK, Sandhu G. Scaling limits of resistive memories. Nanotechnology 2011, 22, 254027.10.1088/0957-4484/22/25/254027Search in Google Scholar PubMed

[52] Noman M, Jiang W, Salvador PA, Skowronski M, Bain JA. Computational investigations into the operating window for memristive devices based on homogeneous ionic motion. Appl. Phys. A 2011, 102, 877–883.10.1007/s00339-011-6270-ySearch in Google Scholar

[53] Strukov DB, Williams RS. An ionic bottle for high-speed, long-retention memristive devices. Appl. Phys. A 2011, 102, 1033–1036.10.1007/s00339-011-6321-4Search in Google Scholar

[54] Jeong DS, Schroeder H, Waser R. Coexistence of bipolar and unipolar resistive switching behaviors in a Pt/TiO2/Pt stack. Electrochem. Solid State Lett. 2007, 10, G51–G53.10.1149/1.2742989Search in Google Scholar

[55] Lee D, Choi H, Sim H, Choi D, Hwang H, Lee M-J, Seo S-A, Yoo IK. Resistance switching of the nonstoichiometric zirconium oxide for nonvolatile memory applications. IEEE Electron Dev. Lett. 2005, 26, 719–721.10.1109/LED.2005.854397Search in Google Scholar

[56] Liu Q, Guan W, Long S, Jia R, Liu M, Chen J. Resistive switching memory effect of ZrO2 films with Zr+implanted. Appl. Phys. Lett. 2008, 92, 012117–012117-3.10.1063/1.2832660Search in Google Scholar

[57] Arita M, Kaji H, Fujii T, Takahashi Y. Resistance switching properties of molybdenum oxide films. Thin Solid Films 2012, 520, 4762–4767.10.1016/j.tsf.2011.10.174Search in Google Scholar

[58] Kim KM, Choi BJ, Koo BW, Choi S, Jeong DS, Hwang CS. Resistive switching in Pt/Al2O3/TiO2/Ru stacked structures. Electrochem. Solid State Lett. 2006, 9, G343–G346.10.1149/1.2353899Search in Google Scholar

[59] Lin C-Y, Wu C-Y, Wu C-Y, Hu C, Tseng T-Y. Bistable resistive switching in Al2O3 memory thin films. J. Electrochem. Soc.2007, 154, G189–G192.10.1149/1.2750450Search in Google Scholar

[60] Chen YY, Pourtois G, Adelmann C, Goux L, Govoreanu B, Degreave R, Jurczak M, Kittl JA, Groeseneken G, Wouters DJ. Insights into Ni-filament formation in unipolar-switching Ni/HfO2/TiN resistive random access memory device. Appl. Phys. Lett. 2012, 100, 113513.10.1063/1.3695078Search in Google Scholar

[61] Govoreanu B, Kar GS, Chen Y, Paraschiv V, Kubicek S, Fantini A, Radu IP, Goux L, Clima S, Degraeve R, Jossart N, Richard O, Vandeweyer T, Seo K, Hendrickx P, Pourtois G, Bender H, Altimime L, Wouters DJ, Kittl JA, Jurczak M. 10×10 nm2 Hf/HfOx crossbar resistive RAM with excellent performance, reliability and low-energy operation. In Electron Devices Meeting (IEDM), 2011 IEEE International. IEEE, 2011.10.1109/IEDM.2011.6131652Search in Google Scholar

[62] Wu X, Pey KL, Zhang G, Bai P, Li X, Liu WH, Raghavan N. Electrode material dependent breakdown and recovery in advanced high-κ gate stacks. Appl. Phys. Lett. 2010, 96, 202903–202903-3.10.1063/1.3429682Search in Google Scholar

[63] Lin K-L, Hou T-H, Shieh J, Lin J-H, Chou C-T, Lee Y-J. Electrode dependence of filament formation in HfO2 resistive-switching memory. J. Appl. Phys. 2011, 109, 084104.10.1063/1.3567915Search in Google Scholar

[64] Walczyk C, Wenger C, Walczyk D, Lukosius M, Costina I, Fraschke M, Dabrowski J, Fox A, Wolansky D.On the role of Ti adlayers for resistive switching in HfO2-based metal-insulator-metal structures: top versus bottom electrode integration. J. Vac. Sci. Technol. B 2011, 29, 01AD02–01AD02-7.10.1116/1.3536524Search in Google Scholar

[65] Tsiplakides D, Vayenas CG. Electrode work function and absolute potential scale in solid-state electrochemistry. J. Electrochem. Soc. 2001, 148, E189–E202.10.1149/1.1362547Search in Google Scholar

[66] Schaeffer J, Samavedam S, Fonseca L, Capasso C, Adetutu O, Gilmer D, Hobbs C, Luckowski E, Gregory R, Jiang Z-X, Liang Y, Moore K, Roan D, Nguyen B-Y, Tobin P, White B. Investigation of metal gate electrodes on HfO2 gate dielectrics. In Mat. Res. Soc. Symp. Proc. 811 D. 2004. Cambridge Univ Press: Cambridge, UK.10.1557/PROC-811-D4.1Search in Google Scholar

[67] Greiner MT, Lu Z-H. Thin-film metal oxides in organic semiconductor devices: their electronic structures, work functions and interfaces. NPG Asia Mat. 2013, 5, e55.10.1038/am.2013.29Search in Google Scholar

[68] Haynes WM. CRC Handbook of Chemistry and Physics, 95th ed., CRC press: Boca Raton, Florida, USA, 2013.Search in Google Scholar

[69] Fujii R, Gotoh Y, Liao MY, Tsuji H, Ishikawa J. Work function measurement of transition metal nitride and carbide thin films. Vacuum 2006, 80, 832–835.10.1016/j.vacuum.2005.11.030Search in Google Scholar

[70] Cagli C, Buckley J, Jousseaume V, Cabout T. Experimental and theoretical study of electrode effects in HfO2 based RRAM. In Electron Devices Meeting (IEDM), 2011 IEEE International. 2011. IEEE.10.1109/IEDM.2011.6131634Search in Google Scholar

[71] Goux L, Wang XP, Chen YY, Pantisano L, Jossart N, Govoreanu B, Kittl JA, Jurczak M, Altimime L, Wouters DJ. Roles and effects of TiN and Pt electrodes in resistive-switching HfO2 systems. Electroch. Solid-St. Let. 2011, 14, H244–H246.10.1149/1.3575165Search in Google Scholar

[72] Xu N, Liu L, Sun X, Liu X, Han D, Wang Y, Han R, Kang J, Yu B. Characteristics and mechanism of conduction/set process in TiN/ZnO/Pt resistance switching random-access memories. Appl. Phys. Lett. 2008, 92, 232112.10.1063/1.2945278Search in Google Scholar

[73] Fang Z, Yu HY, Liu WJ, Wang ZR, Tran XA, Gao B, Kang JF. Temperature instability of resistive switching on-based RRAM devices. IEEE Electr. Device Lett. 2010, 31, 476–478.10.1109/LED.2010.2041893Search in Google Scholar

[74] Wang S-Y, Lee D-Y, Tseng T-Y, Lin C-Y. Effects of Ti top electrode thickness on the resistive switching behaviors of rf-sputtered ZrO2 memory films. Appl. Phys. Lett. 2009, 95, 112904.10.1063/1.3231872Search in Google Scholar

[75] Lin C-Y, Wang S-Y, Lee D-Y, Tseng T-Y. Electrical properties and fatigue behaviors of ZrO2 resistive switching thin films. J. Electrochem. Soc. 2008, 155, H615–H619.10.1149/1.2946430Search in Google Scholar

[76] Goux L, Chen Y-Y, Pantisano L, Wang X-P, Groeseneken G, Jurczak M, Wouters DJ. On the gradual unipolar and bipolar resistive switching of TiN\HfO2\Pt memory systems. Electrochem. Solid-St. Let. 2010, 13, G54–G56.10.1149/1.3373529Search in Google Scholar

[77] Lee H-Y, Chen P-S, Wu T-Y, Wang C-C, Tzeng P-J, Lin C-H, Chen F, Tsai M-J, Lien C. Electrical evidence of unstable anodic interface in Ru/HfO x/TiN unipolar resistive memory. Appl. Phys. Lett. 2008, 92, 142911–142911-3.10.1063/1.2908928Search in Google Scholar

[78] Liu Q, Sun J, Lv H, Long S, Yin K. Real-time observation on dynamic growth/dissolution of conductive filaments in oxide-electrolyte-based ReRAM. Adv. Mat. 2012, 24, 1844–1849.10.1002/adma.201104104Search in Google Scholar

[79] Kumar A, Baghini M. Experimental study for selection of electrode material for ZnO-based memristors. Electro. Let. 2014, 50, 1547–1549.10.1049/el.2014.1491Search in Google Scholar

[80] Peng HY, Li GP, Ye JY, Wei ZP, Zhang Z, Wang DD, Xing GZ, Wu T. Electrode dependence of resistive switching in Mn-doped ZnO: Filamentary versus interfacial mechanisms. Appl. Phys. Lett. 2010, 96, 192113.10.1063/1.3428365Search in Google Scholar

[81] Seo S, Lee MJ, Seo DH, Choi SK, Suh D-S, Joung YS, Yoo IK, Byun IS, Hwang IR, Kim SH, Park BH. Conductivity switching characteristics and reset currents in NiO films. Appl. Phys. Lett. 2005, 86, 093509.10.1063/1.1872217Search in Google Scholar

[82] Courtade L, Turquat C, Muller C, Lisoni JG, Goux L, Wouters DJ. Improvement of resistance switching characteristics in NiO films obtained from controlled Ni oxidation. In Non-Volatile Memory Technology Symposium, 2007. NVMTS ’07. 2007.10.1109/NVMT.2007.4389934Search in Google Scholar

[83] Pan F, Chen C, Wang Z-s, Yang Y-c, Yang J, Zeng F. Nonvolatile resistive switching memories-characteristics, mechanisms and challenges. Prog. Nat. Sci. Mater. Int. 2010, 20, 1–15.10.1016/S1002-0071(12)60001-XSearch in Google Scholar

[84] Kentaro K, Tetsuro T, Masaki A, Yoshihiro S, Hitoshi T. Lowering the switching current of resistance random access memory using a hetero junction structure consisting of transition metal oxides. Jpn. J. Appl. Phys. 2006, 45, L991.10.1143/JJAP.45.L991Search in Google Scholar

[85] Ahn S-E, Lee M-J, Park Y, Kang BS. Write current reduction in transition metal oxide based resistance change memory. Adv. Mat. 2008, 20, 924–928.10.1002/adma.200702081Search in Google Scholar

[86] Filatova EO, Baraban AP, Konashuk AS, Konyushenko MA, Selivanov AA, Sokolov AA, Schaefers F, Drozd VE. Transparent-conductive-oxide (TCO) buffer layer effect on the resistive switching process in metal/TiO2/TCO/metal assemblies. New J. Phys. 2014, 16, 113014.10.1088/1367-2630/16/11/113014Search in Google Scholar

[87] Lee HY, Chen YS, Chen PS, Wu TY, Chen F, Wang CC, Tzeng PJ, Tsai M-J, Lien C. Low-power and nanosecond switching in robust hafnium oxide resistive memory with a thin Ti cap. IEEE Electr. Dev. Lett. 2010, 31, 44–46.10.1109/LED.2009.2034670Search in Google Scholar

[88] Li C-C, Kuei-Shu C-L, Chen Y-C, Fu C-H, Liu L-J, Wang T-K. Effects of oxygen content and capping metal layer on bipolar switching properties of HfO2-based resistive random access memory devices. In Semiconductor Device Research Symposium (ISDRS), 2011 International. 2011. IEEE.10.1109/ISDRS.2011.6135389Search in Google Scholar

[89] Lee HY, Chen P-S, Wu T-Y, Chen YS, Chen F, Wang C-C, Tzeng P-J, Lin CH, Tsai M-J, Lien C. Bipolar resistive memory with robust endurance using AlCu as buffer electrode. IEEE Electr. Dev. Lett. 2009, 30, 703–705.10.1109/LED.2009.2021004Search in Google Scholar

[90] Hou Y, Chen B, Gao B, Lun ZY, Xin Z, Liu R, Liu LF, Han DD, Wang Y, Liu XY, Kang JF. Self-compliance multilevel resistive switching characteristics in TiN/HfOx/Al/Pt RRAM devices. In Electron Devices and Solid-State Circuits (EDSSC), 2013 IEEE International Conference of. 2013. IEEE.10.1109/EDSSC.2013.6628050Search in Google Scholar

[91] Lee HY, Chen PS, Wu TY, Chen YS, Wang CC, Tzeng PJ, Lin CH, Chen F, Lien CH, Tsai MJ. Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust HfO2 based RRAM. In Electron Devices Meeting, 2008. IEDM 2008. IEEE International. 2008. IEEE.10.1109/IEDM.2008.4796677Search in Google Scholar

[92] Shima H, Takano F, Muramatsu H, Akinaga H, Tamai Y, Inque IH, Takagi H. Voltage polarity dependent low-power and high-speed resistance switching in CoO resistance random access memory with Ta electrode. Appl. Phys. Lett. 2008, 93, 113504.10.1063/1.2982426Search in Google Scholar

[93] Kima H, McIntyre PC, Chui CO, Saraswat KC, Stemmer S. Engineering chemically abrupt high-k metal oxide/silicon interfaces using an oxygen-gettering metal overlayer. J. Appl. Phys. 2004, 96, 3467–3472.10.1063/1.1776636Search in Google Scholar

[94] Wang XP, Chen YY, Pantisano L, Goux L, Jurczak M, Groeseneken G, Wouters DJ. Effect of anodic interface layers on the unipolar switching of HfO2-based resistive RAM. In VLSI Technology Systems and Applications (VLSI-TSA), 2010 International Symposium on. 2010. IEEE.10.1109/VTSA.2010.5488914Search in Google Scholar

[95] Yang JJ, Zhang M-X, Strachan JP, Miao F, Pickett MD. High switching endurance in TaOx memristive devices. Appl. Phys. Lett. 2010, 97, 232102.10.1063/1.3524521Search in Google Scholar

[96] Brivio S, Tallarida G, Perego D, Franz S, Deleruyelle D, Muller C, Spiga S. Low-power resistive switching in Au/NiO/Au nanowire arrays. Appl. Phys. Lett. 2012, 101, 223510.10.1063/1.4769044Search in Google Scholar

[97] Do YH, Kwak JS, Bae YC, Lee JH, Kim Y, Im H, Hong JP. TiN electrode-induced bipolar resistive switching of TiO2 thin films. Curr. Appl. Phys. 2010, 10, e71–e74.10.1016/j.cap.2009.12.017Search in Google Scholar

[98] Zhang R, Yuldashev ShU, Lee JC, Yalishev VSh, Kang TW, Fu DJ. Memristive behavior of ZnO/NiO stacked heterostructure. Microelectron. Eng. 2013, 112, 31–34.10.1016/j.mee.2013.05.018Search in Google Scholar

[99] Zhang Y, Wu H, Bai Y, Chen A, Yu Z, Zhang J, Qian H. Study of conduction and switching mechanisms in Al/AlOx/WOx/W resistive switching memory for multilevel applications. Appl. Phys. Lett. 2013, 102, 233502.10.1063/1.4810000Search in Google Scholar

[100] Liu M, Guan W, Long S, Liu Q, Wang W. Excellent resistive switching characteristics of Cu doped ZrO2 and its 64 bit cross-point integration. In Solid-State and Integrated-Circuit Technology, 2008. ICSICT 2008. 9th International Conference on. 2008. IEEE.Search in Google Scholar

[101] Hong SM, Kim H-D, Yun MJ, Park JH, Jeon DS, Kim TG. Improved resistive switching properties by nitrogen doping in tungsten oxide thin films. Thin Solid Films 2015, 583, 81–85.10.1016/j.tsf.2015.03.049Search in Google Scholar

[102] Chen L, Dai Y-W, Sun Q-Q, Guo J-J, Zhou P, Zhang DW. Al2O3/HfO2 functional stack films based resistive switching memories with controlled SET and RESET voltages. Solid State Ionics 2015, 273, 66–69.10.1016/j.ssi.2014.08.014Search in Google Scholar

[103] Yan Zhe T, Zheng F, Xin Peng W, Bao Bin W, Zhi Xian C, Guo Qiang L. A novel RRAM stack with double-switching-layer configuration showing low operation current through complimentary switching of back-to-back connected subcells. IEEE Electr. Dev. Lett. 2014, 35, 627–629.10.1109/LED.2014.2314093Search in Google Scholar

[104] Son JY, Shin Y-H, Kim H, Jang HM. NiO resistive random access memory nanocapacitor array on graphene. ACS Nano 2010, 4, 2655–2658.10.1021/nn100234xSearch in Google Scholar PubMed

[105] Yang Y, Zhang X, Gao M, Zeng F, Zhou W, Xie S, Pan F. Nonvolatile resistive switching in single crystalline ZnO nanowires. Nanoscale 2011, 3, 1917–1921.10.1039/c1nr10096cSearch in Google Scholar PubMed

[106] Chen C, Yang YC, Zeng F, Pan F. Bipolar resistive switching in Cu/AlN/Pt nonvolatile memory device. Appl. Phys. Lett. 2010, 97, 083502-1.10.1063/1.3483158Search in Google Scholar

[107] Hu SG, Wu SY, Jia WW, Yu Q, Deng LJ, Fu YQ, Liu Y, Chen TP. Review of nanostructured resistive switching memristor and its applications. Nanosci. Nanotechno. Let. 2014, 6, 729–757.10.1166/nnl.2014.1888Search in Google Scholar

[108] Yang Z, Ko C, Ramanathan S. Oxide electronics utilizing ultrafast metal-insulator transitions. Ann. Rev. Mater. Res. 2011, 41, 337–367.10.1146/annurev-matsci-062910-100347Search in Google Scholar

[109] Pan F, Gao S, Chen C, Song C, Zeng F. Recent progress in resistive random access memories: materials, switching mechanisms, and performance. Mater. Sci. Eng. Rep. 2014, 83, 1–59.10.1016/j.mser.2014.06.002Search in Google Scholar

[110] Muhammad NM, Duraisamy N, Rahman K, Dang HW, Jo J, Choi KH. Fabrication of printed memory device having zinc-oxide active nano-layer and investigation of resistive switching. Curr. Appl. Phys. 2013, 13, 90–96.10.1016/j.cap.2012.06.017Search in Google Scholar

[111] Chiu F-C. Resistance switching characteristics in ZnO-based nonvolatile memory devices. Adv. Mater. Sci. Eng. 2013, 2013, Doi: http://dx.doi.org/10.1155/2013/362053.10.1155/2013/362053Search in Google Scholar

[112] Yang JJ, Kobayashi NP, Strachan JP, Zhang M-X, Ohlberg DAA, Pickett MD, Li Z, Medeiros-Ribeiro G, Stanley Williams R. Dopant control by atomic layer deposition in oxide films for memristive switches. Chem. Mater. 2010, 23, 123–125.10.1021/cm1020959Search in Google Scholar

[113] Nickel JH, Strachan JP, Pickett MD, Schamp CT, Yang JJ, Graham JA, Stanley Williams R. Memristor structures for high scalability: non-linear and symmetric devices utilizing fabrication friendly materials and processes. Microelectron. Eng. 2013, 103, 66–69.10.1016/j.mee.2012.09.007Search in Google Scholar

[114] Kim S, Jeong HY, Kim SK, Choi SY, Lee KJ. Flexible memristive memory array on plastic substrates. Nano Lett. 2011, 11, 5438–5442.10.1021/nl203206hSearch in Google Scholar PubMed

[115] Wu S, Ren L, Qing J, Yu F, Yang K, Yang M, Wang Y, Meng M, Zhou W, Zhou X, Li S. Bipolar resistance switching in transparent ITO/LaAlO3/SrTiO3 memristors. ACS Appl. Mat. Interface. 2014, 6, 8575–8579.10.1021/am501387wSearch in Google Scholar PubMed

[116] Wei Z, Kanzawa Y, Arita K, Katoh Y, Kawai K, Muraoka S, Mitani S, Fujii S, Katayama K, Iijima M, Mikawa T, Ninomiya T, Miyanaga R, Kawashima Y, Tsuji K, Himeno A, Okada T, Azuma R, Shimakawa K, Sugaya H, Takagi T, Yasuhara R, Horiba K, Kumigashira H, Oshima M. Highly reliable TaOx ReRAM and direct evidence of redox reaction mechanism. In Electron Devices Meeting, 2008. IEDM 2008. IEEE International. 2008. IEEE.10.1109/IEDM.2008.4796676Search in Google Scholar

[117] Chen C, Song C, Yang J, Zeng F, Pan F. Oxygen migration induced resistive switching effect and its thermal stability in W/TaOx/Pt structure. Appl. Phys. Lett. 2012, 100, 253509.10.1063/1.4730601Search in Google Scholar

[118] Oka K, Yanagida T, Nagashima K, Kanai M, Kawai T, Kim J-S, Park BH. Spatial nonuniformity in resistive-switching memory effects of NiO. J. Am. Chem. Soc. 2011, 133, 12482–12485.10.1021/ja206063mSearch in Google Scholar PubMed

[119] Awais MN, Muhammad NM, Navaneethan D, Kim HC, Jo J, Choi KH. Fabrication of ZrO 2 layer through electrohydrodynamic atomization for the printed resistive switch (memristor). Microelectron. Eng. 2013, 103, 167–172.10.1016/j.mee.2012.09.005Search in Google Scholar

[120] Awais MN, Kim HC, Doh YH, Choi KH. ZrO 2 flexible printed resistive (memristive) switch through electrohydrodynamic printing process. Thin Solid Films 2013, 536, 308–312.10.1016/j.tsf.2013.04.003Search in Google Scholar

[121] Sun B, Liu YX, Liu LF, Xu N, Wang Y, Liu XY, Han RQ, Kang JF. Highly uniform resistive switching characteristics of TiN/ZrO 2/Pt memory devices. J. Appl. Phys. 2009, 105, 061630-061630-4.10.1063/1.3055414Search in Google Scholar

[122] Younis A, Chu D, Li CM, Das T, Sehar S, Manefield M, Li S. Interface thermodynamic state-induced high-performance memristors. Langmuir 2014, 30, 1183–1189.10.1021/la404389bSearch in Google Scholar PubMed

[123] Wu Y, Chai Y, Chen H-Y, Yu S, Wong H-SP. Resistive switching AlOx-based memory with CNT electrode for ultra-low switching current and high density memory application. In VLSI Technology (VLSIT), 2011 Symposium on. 2011. IEEE.Search in Google Scholar

[124] Sleiman A, Sayers P, Mabrook M. Mechanism of resistive switching in Cu/AlOx/W nonvolatile memory structures. J. Appl. Phys. 2013, 113, 164506.10.1063/1.4803062Search in Google Scholar

[125] Hu P, Li XY, Lu JQ, Yang M, Lv QB, Li SW. Oxygen deficiency effect on resistive switching characteristics of copper oxide thin films. Phys. Lett. A 2011, 375, 1898–1902.10.1016/j.physleta.2011.03.033Search in Google Scholar

[126] Liu K-C, Tzeng W-H, Chang K-M, Chan Y-C, Kuo C-C. Bipolar resistive switching effect in Gd2O3 films for transparent memory application. Microelectron Eng. 2011, 88, 1586–1589.10.1016/j.mee.2010.11.021Search in Google Scholar

[127] Jana D, Mrinmoy D, Amit P. RRAM characteristics using a new Cr/GdOx/TiN structure. Nanoscale Res. Lett. 2014, 9, 680.10.1186/1556-276X-9-680Search in Google Scholar PubMed PubMed Central

[128] Yang MK, Park J-W, Ko TK, Lee J-K. Bipolar resistive switching behavior in Ti/MnO2/Pt structure for nonvolatile memory devices. Appl. Phys. Lett. 2009, 95, 042105-042105-3.10.1063/1.3191674Search in Google Scholar

[129] Schindler C, Thermadam SCP, Waser R, Kozicki MN. Bipolar and unipolar resistive switching in Cu-doped SiO2. IEEE Trans. Electr. Dev. 2007, 54, 2762–2768.10.1109/TED.2007.904402Search in Google Scholar

[130] Huang C-H, Huang J-S, Lin S-M, Chang W-Y, He J-H, Chueh Y-L. ZnO1–x nanorod arrays/ZnO thin film bilayer structure: from homojunction diode and high-performance memristor to complementary 1D1R application. ACS Nano 2012, 6, 8407–8414.10.1021/nn303233rSearch in Google Scholar PubMed

[131] Lin S-M, Huang J-S, Chang W-C, Hou T-C, Huang H-W, Huang C-H, Lin S-J, Chueh Y-L. Single-step formation of ZnO/ZnWOx bilayer structure via interfacial engineering for high performance and low energy consumption resistive memory with controllable high resistance states. ACS Appl. Mater. Inter. 2013, 5, 7831–7837.10.1021/am4016928Search in Google Scholar PubMed

[132] Murali S, Rajachidambaram JS, Han S-Y, Chang C-H, Herman GS, Conley JF. Jr. Resistive switching in zinc-tin-oxide. Solid State Electron. 2013, 79, 248–252.10.1016/j.sse.2012.06.016Search in Google Scholar

[133] Chen A, Haddad S, Wu Y-C, Fang T-N, Lan Z, Avanzino S, Pangrle S, Buynoski M, Rathor M, Cai W, Tripsas N, Bill C, VanBuskirk M, Taguchi M. Non-volatile resistive switching for advanced memory applications. In Electron Devices Meeting, 2005. IEDM Technical Digest. IEEE International. 2005. IEEE.Search in Google Scholar

[134] Chen L, Xu Y, Sun Q-Q, Zhou P, Wang P-F, Ding S-J, Zhang DW. Atomic-layer-deposited HfLaO-based resistive switching memories with superior performance. IEEE Electr. Dev. Lett. 2010, 31, 1296–1298.10.1109/LED.2010.2069081Search in Google Scholar

[135] Yanagida T. Memristive switching phenomena in a single oxide nanowire. In Nanotechnology Materials and Devices Conference (NMDC), 2011 IEEE. 2011. IEEE.10.1109/NMDC.2011.6155307Search in Google Scholar

[136] Syu Y-E, Chang T-C, Tsai T-M, Chang G-W, Chang K-C, Tai Y-H, Tsai M-J, Wang Y-L, Sze SM. Silicon introduced effect on resistive switching characteristics of WOX thin films. Appl. Phys. Let. 2012, 100, 022904.10.1063/1.3676194Search in Google Scholar

[137] Syu Y-E, Zhang R, Chang T-C, Tsai T-M, Chang K-C, Lou J-C, Young T-F, Chen J-H, Chen M-C, Yang Y-L, Shih C-C, Chu T-J, Chen J-Y, Pan C-H, Su Y-T, Huang H-C, Gan D-S, Sze SM. Endurance improvement technology with nitrogen implanted in the interface of resistance switching device. IEEE Electr. Dev. Lett. 2013, 34, 864–866.10.1109/LED.2013.2260125Search in Google Scholar

[138] Choi BJ, Torrezan AC, Norris KJ, Miao F, Strachan JP, Zhang M-X, Ohlberg DAA, Kobayashi NP, Yang JJ, Williams RS. Electrical performance and scalability of Pt dispersed SiO2 nanometallic resistance switch. Nano Letters 2013, 13, 3213–3217.10.1021/nl401283qSearch in Google Scholar PubMed

[139] Tan T, Guo T, Chen X, Li X, Liu Z. Impacts of Au-doping on the performance of Cu/HfO2/Pt RRAM devices. Appl. Surf. Sci. 2014, 317, 982–985.10.1016/j.apsusc.2014.09.027Search in Google Scholar

[140] Guo T, Tan T, Liu Z. Enhanced resistive switching behaviors of HfO2: Cu film with annealing process. Vacuum 2015, 114, 78–81.10.1016/j.vacuum.2015.01.006Search in Google Scholar

[141] Chakrabarti S, Jana D, Dutta M, Maikap S, Yi-Yan C, Jer-Ren Y. Impact of AlOx interfacial layer and switching mechanism in W/AlOx/TaOx/TiN RRAMs. In Memory Workshop (IMW), 2014 IEEE 6th International. 2014. IEEE.10.1109/IMW.2014.6849394Search in Google Scholar

[142] Kwak JS, Do YH, Bae YC, Im H, Hong JP. Reproducible unipolar resistive switching behaviors in the metal-deficient CoOx thin film. Thin Solid Films 2010, 518, 6437–6440.10.1016/j.tsf.2010.03.050Search in Google Scholar

[143] Gao X, Guo H, Xia Y, Yin J, Liu Z. Unipolar resistive switching characteristics in Co3O4 films. Thin Solid Films 2010, 519, 450–452.10.1016/j.tsf.2010.07.075Search in Google Scholar

[144] Lv HB, Yin M, Song YL, Fu XF, Tang L, Zhou P, Zhao CH, Tang TA, Chen BA, Lin YY. Forming process investigation of CuxO memory films. IEEE Electr. Dev. Lett. 2008, 29, 47–49.10.1109/LED.2007.911619Search in Google Scholar

[145] Yin M, Zhou P, Lv HB, Tang TA, Chen BA, Lin YY, Bao A, Chi MH. Enhancement of endurance for Cu x O based RRAM cell. In Solid-State and Integrated-Circuit Technology, 2008. ICSICT 2008. 9th International Conference on. 2008. IEEE.10.1109/ICSICT.2008.4734695Search in Google Scholar

[146] Liu K-C, Tzeng W-H, Chang K-M, Chan Y-C, Kuo C-C, Cheng C-W. The resistive switching characteristics of a Ti/Gd2O3/Pt RRAM device. Microelectron. Reliab. 2010, 50, 670–673.10.1016/j.microrel.2010.02.006Search in Google Scholar

[147] Baek IG, Lee MS, Seo S, Lee M-J, Seo DH, Suh D-S, Park JC, Park SO, Kim TI, Yoo IK, Chung U, Moon JT. Highly scalable nonvolatile resistive memory using simple binary oxide driven by asymmetric unipolar voltage pulses. In Electron Devices Meeting, 2004. IEDM Technical Digest. IEEE International. 2004. IEEE.Search in Google Scholar

[148] Nagashima K, Yanagida T, Oka K, Kawai T. Unipolar resistive switching characteristics of room temperature grown SnO2 thin films. Appl. Phys. Lett. 2009, 94, 242902.10.1063/1.3156863Search in Google Scholar

[149] Chien WC, Chen YC, Lai EK, Yao YD, Lin P, Horng SF, Gong J, Chou TH, Lin HM, Chang MN, Shih YH, Hsieh KY, Liu R, Chih-Yuan L. Unipolar switching behaviors of RTO RRAM. IEEE Electr. Dev. Lett. 2010, 31, 126–128.10.1109/LED.2009.2037593Search in Google Scholar

[150] Huang CY, Chand U, Tseng TY. Improvement of unipolar resistive switching characteristics in ti embedded ZrO2 thin film. Appl. Mech. Mater. 2014, 543, 3839–3842.10.4028/www.scientific.net/AMM.543-547.3839Search in Google Scholar

[151] Gergel-Hackett N, Hamadani B, Dunlap B, Suehle J, Richter C, Hacker C, Gundlach D. A flexible solution-processed memristor. IEEE Electr. Dev. Lett. 2009, 30, 706–708.10.1109/ISDRS.2009.5378042Search in Google Scholar

[152] Gale E, Pearson D, Kitson S, Adamatzky A, de Lacy Costello B. Aluminium electrodes effect the operation of titanium oxide sol-gel memristors. Mater. Chem. Phys. 2015, 162, 20–30.10.1016/j.matchemphys.2015.03.037Search in Google Scholar

[153] Jeong DS, Thomas R, Katiyar RS, Scott JF, Kohlstedt H, Petraru A, Hwang CS. Emerging memories: resistive switching mechanisms and current status. Rep. Prog. Phys. 2012, 75, 076502.10.1088/0034-4885/75/7/076502Search in Google Scholar PubMed

[154] International Technology Roadmap for Semiconductors 2013, Available [Online] Available from: http://www.itrs.net/. Accessed on December 2015.Search in Google Scholar

[155] Wey TA, Jemison WD. Variable gain amplifier circuit using titanium dioxide memristors. IET Circ. Dev. Syst. 2011, 5, 59–65.10.1049/iet-cds.2010.0210Search in Google Scholar

[156] Varghese D, Gandhi G. Memristor based high linear range differential pair. In Communications, Circuits and Systems, 2009. ICCCAS 2009. International Conference on. 2009. IEEE.10.1109/ICCCAS.2009.5250373Search in Google Scholar

[157] Halawani Y, Mohammad B, Al-Qutayri M, Saleh H. Memory impact on the lifetime of a wireless sensor node using a semi-Markov model. In Circuits and Systems (ISCAS), 2015 IEEE International Symposium on. 2015. IEEE.10.1109/ISCAS.2015.7168922Search in Google Scholar

[158] Eshraghian K, Kavehei O, Kyoung-Rok C, Chappell JM, Iqbal A, Al-Sarawi SF, Abbott D. Memristive device fundamentals and modeling: applications to circuits and systems simulation. P. IEEE 2012, 100, 1991–2007.10.1109/JPROC.2012.2188770Search in Google Scholar

[159] Kavehei O, Cho K-R, Lee S-J, Al-Sarawi S, Eshraghian K, Abbott D. Integrated memristor-mos (M2) sensor for basic pattern matching applications. J Nanosci. Nanotechno. 2013, 13, 3638–3640.10.1166/jnn.2013.7295Search in Google Scholar PubMed

[160] Berdan R, Prodromakis T, Salaoru I, Khiat A, Toumazou C. Memristive devices as parameter setting elements in programmable gain amplifiers. Appl. Phys. Lett. 2012, 101, 243502.10.1063/1.4770315Search in Google Scholar

[161] Hamdioui S, Xie L, Nguyen HAD, Taouil M, Bertels K, Corporaal H, Jiao H, Catthoor F, Wouters D, Eike L, van Lunteren J. Memristor based computation-in-memory architecture for data-intensive applications. In Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition. 2015, EDA Consortium: Grenoble, France. p. 1718–1725.Search in Google Scholar

[162] Nguyen HAD, Xie L, Taouil M, Nane R, Hamdioui S, Bertels K. Computation-in-memory based parallel adder. In Nanoscale Architectures (NANOARCH), 2015 IEEE/ACM International Symposium on. 2015. IEEE.Search in Google Scholar

[163] Wang W, Jing TT, Butcher B. FPGA based on integration of memristors and CMOS devices. In Circuits and Systems (ISCAS), Proceedings of 2010 IEEE International Symposium on. 2010. IEEE.10.1109/ISCAS.2010.5537010Search in Google Scholar

[164] Cong J, Xiao B. mrFPGA: a novel FPGA architecture with memristor-based reconfiguration. In Nanoscale Architectures (NANOARCH), 2011 IEEE/ACM International Symposium on. 2011. IEEE.10.1109/NANOARCH.2011.5941476Search in Google Scholar

[165] Kuzum D, Yu S, Wong HP. Synaptic electronics: materials, devices and applications. Nanotechnology 2013, 24, 382001.10.1088/0957-4484/24/38/382001Search in Google Scholar PubMed

[166] Pickett MD, Medeiros-Ribeiro G, Williams RS. A scalable neuristor built with Mott memristors. Nat. Mat. 2013, 12, 114–117.10.1038/nmat3510Search in Google Scholar PubMed

[167] Gaba S, Sheridan P, Zhou J, Choi S, Lu W. Stochastic memristive devices for computing and neuromorphic applications. Nanoscale 2013, 5, 5872–5878.10.1039/c3nr01176cSearch in Google Scholar PubMed

Received: 2015-5-3
Accepted: 2015-9-30
Published Online: 2016-1-21
Published in Print: 2016-6-1

©2016 by De Gruyter

This article is distributed under the terms of the Creative Commons Attribution Non-Commercial License, which permits unrestricted non-commercial use, distribution, and reproduction in any medium, provided the original work is properly cited.

Downloaded on 30.4.2024 from https://www.degruyter.com/document/doi/10.1515/ntrev-2015-0029/html
Scroll to top button