Next Article in Journal
2D Au-Coated Resonant MEMS Scanner for NIR Fluorescence Intraoperative Confocal Microscope
Next Article in Special Issue
Design of 400 V Miniature DC Solid State Circuit Breaker with SiC MOSFET
Previous Article in Journal
A Measurement-Data-Driven Control Approach towards Variance Reduction of Micromachined Resonant Accelerometer under Multi Unknown Disturbances
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Miniaturization of CMOS

1
Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China
2
Microelectronics Institute, University of Chinese Academy of Sciences, Beijing 100049, China
3
Department of Electronics Design, Mid Sweden University, Holmgatan 10, 85170 Sundsvall, Sweden
4
State Key Laboratory of Advanced Materials for Smart Sensing, General Research Institute for Nonferrous Metals, Beijing 100088, China
5
Fert Beijing Institute, Big Data Brain Computing (BDBC), Beihang University, Beijing 100191, China
6
School of Artificial Intelligence, University of Chinese Academy of Sciences, Beijing 100049, China
7
School of Microelectronics, University of Science and Technology of China, Anhui 230026, China
*
Authors to whom correspondence should be addressed.
The authors have equally contributed in this article.
Micromachines 2019, 10(5), 293; https://doi.org/10.3390/mi10050293
Submission received: 3 March 2019 / Revised: 10 April 2019 / Accepted: 11 April 2019 / Published: 30 April 2019
(This article belongs to the Special Issue Miniaturized Transistors, Volume II)

Abstract

:
When the international technology roadmap of semiconductors (ITRS) started almost five decades ago, the metal oxide effect transistor (MOSFET) as units in integrated circuits (IC) continuously miniaturized. The transistor structure has radically changed from its original planar 2D architecture to today’s 3D Fin field-effect transistors (FinFETs) along with new designs for gate and source/drain regions and applying strain engineering. This article presents how the MOSFET structure and process have been changed (or modified) to follow the More Moore strategy. A focus has been on methodologies, challenges, and difficulties when ITRS approaches the end. The discussions extend to new channel materials beyond the Moore era.

1. Introduction

In 1965, Gordon Moore, the founder of Intel, published his famous paper describing the evolution of transistor density in integrated circuits. Although his first insight was to establish a business roadmap to increase the profit of the company, he later built the fundaments for technology roadmap in the semiconductor industry. Moore’s idea was based on doubling the transistor density in the chip every 18 months, which causes the transistors to become smaller in size and consumes lower power while performing at higher speed [1].
With years of continuing MOSFETs (metal oxide effect transistor) down-scaling, different non-ideal factors e.g., short channel effects (SCEs), poor electrostatics integrity, and large device variability appeared. Therefore, conventional bulk FinFET and fully depleted silicon on the insulator (FDSOI) are proposed to improve the above problems by applying low gate voltage to fully deplete the ultra-thin silicon [2]. Currently, bulk FinFET has been widely used in mass production from 22 nm to 10 nm node and will be extended to the 5-nm node [3,4,5,6]. In fact, the critical dimension (CD) of the device, e.g., gate length (Lg), applied voltage (VDD), and effective oxide thickness (EOT) are not strictly scaling, according to the Moore’s law. The foundries seek an improvement of driving current (IDS) at the same leakage or achieve the smaller leakage at the same IDS. On the approach to the end of the technology roadmap, the 3-nm node and the traditional bulk FinFET technologies would suffer from enormous challenges [7]. Thus, new device structures, new materials, and new integration approaches have to provide new solutions. Therefore, novel promising device architectures like fin-on-insulator (FOI) FinFET [8,9,10,11], scalloped fin FinFET [12], nanowire (NW) FETs, and the stacked NW device [13,14,15] have demonstrated great improvement for short channel effects (SCEs), leakage control, and higher electron and whole mobility. The fin-on-insulator (FOI) FinFET, fabricated on the bulk Si substrate with a special process takes both advantages of bulk FinFET and SOI technologies. Therefore, it may be one of the most promising candidates for further device scaling. In addition, the low cost and fully Metallic Source and Drain (MSD) process is extensively investigated for the FOI FinFET [9].
Other architecture such as scalloped fin FinFETs with mainstream all-last HKMG (high-k and metal-gate) technology could provide a larger control area and obtain a great improvement for SCEs. Stacked gate-all-around (GAA) NW or nano-sheet is also receiving increasing attention among all device structures. This is considered to be the most promising candidate beyond FinFET technologies for a 3-nm node due to its special characteristic, such as quasi-ballistic transport, steep sub-threshold slope, and one-dimensional channel geometry [13,14].
3D-monolithic or 3D sequential CMOS technology is based on stacking active device layers on top of each other with very small 3D contact pitch (similar pitch as a standard contact) [16,17]. This approach could achieve a 14-nm circuit performance by using 3D sequential CMOS technology with lower parasitic resistance, capacitor, and signal delay. In addition, this integration scheme offers a wide spectrum of applications including (i) increasing integration density beyond device scaling, (ii) enabling neuromorphic integration where RRAM is placed between top and bottom tiers, and (iii) enabling low-cost heterogeneous integration for e.g., smart sensing arrays. However, such an integration process faces the challenges of fabricating high-performance devices in the top tier without degrading the electrical characteristics of the bottom tier [18,19].
The CMOS scaling-down in process, VDD, and temperature (PVT) are becoming a major issue for the nanoscale IC design. The need for low power induces supply voltage scaling, which makes voltage variations a significant design challenge. Moreover, the operation frequency is sensitive to die temperature variations. Therefore, it is increased at high junction temperatures. It is known that process variations are a serious concern due to uncertainty in the device and interconnects characteristics. Process variations negatively impact the speed, stability, and power consumption of traditional transistor designs.
With the continuing scaling of devices, the driving current would become bigger and the frequencies of transmitted signals become higher [20,21].
This article presents how the technology roadmap deal with miniaturization of CMOS including advanced lithography for patterning nano-scaled transistors, process integration, (wet and dry) etching, strain engineering with an emphasis on SiGe epitaxy for source/drain (S/D), dopant implantation, gate formation including deposition of high-k material, and the metal gate using the atomic layer deposition (ALD) technique, and III-V materials for high carrier mobility in the channel for FinFETs. The discussions have a focus on the challenges and difficulties of the path of More Moore and even provide a glimpse of the beyond Moore era for CMOS.

2. Miniaturization Principles

Figure 1 shows the official technology roadmap, which was originally established in the early 1970s and the semiconductor industries began to down scale the transistors [22]. In 2003, when the transistor size shrunk to sub 100 nm, the nano-electronic era was inaugurated. The continuation of down-scaling lead to the parasitic capacitance and the resistance increased. Lastly, the 2D transistors were abandoned and 3D FinFETs were introduced. This is considered as a revolutionary design in the transistor world, which paved the path for sub 22 nm FinFETs with high performance and full control on the carrier transport in the channel.
The down-scaling of the transistors results in operation at lower supply voltages as well as switching with less current.
On one hand, the shorter channel causes lower gate capacitance and higher drive current resulting in faster transistors. On the other hand, the shorter channels contribute to higher S/D and Gate leakage since gate oxide becomes thinner. The smaller transistors have both lower VDD and threshold voltage (VT) or, in principle, lower dynamic power is obtained. The main rules to miniaturize MOSFET with a factor of γ is demonstrated in Figure 2 [23]. This task is performed for transistors when the gate length and width, oxide thickness, junction depth, and substrate doping are downscaled. Therefore, both supply and threshold voltages are also scaled by a factor of γ. In this way, the electric field is maintained constant. Meanwhile, the density of transistors is increased by factor of γ2. In this design, the ratio between gate length and width is also unchanged.
However, information on the size of the pitch in nanometer technologies and the freedom in choosing the size of the transistors can be different. Simply, the pitch parameter may not follow the same trend as the general miniaturization of technologies.

3. Lithography of Nano-Scaled Transistors

A state-of-the-art lithography seeks sharp patterns with high reproducibility. For 20-nm and 14-nm node technology, 193 nm ArF immersion with multiple patterning has been mainly used [24]. Meanwhile, 193-nm immersion with self-aligned double patterning (SADP) and self-aligned quadruple patterning (SAQP) techniques is intended to be used for a 7-nm technology node [24].
SADP is a technique which applied spacer transfer process for small pitch whereas SAQP is applied twice self-aligned double patterning to develop very narrow features [25].
There is a strong effort to apply extreme ultraviolet (EUV) lithography and 193 nm immersion with multi-patterning for a 7-nm node. Although EUV simplifies the patterning process for the 7-nm node, EUV still has issues with resists and mask infrastructure as well as the power source, which have to be solved before high-volume manufacturing.
Figure 3 indicates that the lithography cost depends on the layer and, therefore, the cost of applying either 193i triple patterning or 193i SADP are roughly equal to single-patterning with EUV. This means that the choice of the lithography method depended more on the performance-involved trade-offs [26]. For 7-nm and 5-nm nodes, there is a risk that quad-patterning may occur from 193i and double-patterning from existing EUV tools, or single-patterning from as-yet undelivered high numerical aperture (NA) EUV tools.
To apply 193 nm immersion lithography with multiple patterning for 7-nm and 5-nm nodes has difficulties. The main problem is overlay, which involves the ability of a scanner to align the various mask layers accurately on top of each other. There may be too many masks at each new node. This slows down throughput in the mask shop, increases the possibility that errors will be introduced, and raises the cost at the same time.
Recently, it has demonstrated excellent industrialization progress of its pellicle, with tests confirming that pellicles can withstand 245 W source power and an offline power lifetime test indicating 400 W capability. Compared to the 7-nm logic node, the requirements for EUV masks is tighter for 5 nm. Meanwhile there is good progress to support 5 nm in areas such as reducing mask blank defects [27,28].
The EUV mask infrastructure is the need to manufacture defect-free photo masks where an actinic mask review capability is a critical success factor [29,30]. The ongoing development for anEUV pellicle solution alleviates industry concern about one significant source of line-yield risk [31]. Because pellicles are currently unavailable for EUV lithography, other measures need to be taken to deal with contamination that can occur during mask transport and usage. Such contamination can indeed occur, and it has been observed by practitioners of EUV lithography. This may occur with sufficient frequency, which justifies the concern for repeating defects that can reduce the yield significantly [32].
In addition to the high EUV sensitivity, low local CD uniformity, and high patterning resolution, the next generation resist systems should also efficiently solve the issues of pattern collapse, resist homogeneity, etch resistance, UV out of band, outgassing, high volume manufacturing (HVM) compatibility, defects, and shelf-life [33].
To improve throughput in HVM, the resist sensitivity to the 13.54-nm wavelength radiation of EUV needs to be improved while the line-width roughness (LWR) specification must be held to low single-digit nm. With a 250 W source and 25 mJ/cm2 resist sensitivity, an EUV stepper should be able to process ~100 wafer-per-hour (wph), which should allow the affordable process when matching with other lithography technologies.
Figure 4 shows that higher absorption allows the use of thinner resist, which mitigates the issue of line collapse. Resistance as thin as 18 nm has been patterned over a 70-nm thin Spin-On Carbon (SOC) layer without the need for another Bottom Anti-Reflective Coating (BARC).

4. Process Integration of New Transistor Architecture

4.1. Process Flow of 2D and 3D Transistors

The process flow of FinFETs consists of the SADP technique, followed by oxide filling, planarization using CMP (chemical mechanical polish), and etching to reach the fin active region and form shallow trench isolation (STI).Afterwards, the process flow is similar to the planar transistors e.g., well doping, dummy gate deposition and patterning, spacer formation, SiGe-epi, and S/D formation, interlayer dielectric zero (ILD0), chemical mechanical polish (CMP), dummy gate etch, high-k and metal-gate (HKMG) process, self-aligned contacts (SACs) for silicide and metal formation, local interconnects (LI), and back-end-of-line (BEOL) interconnect construction [34,35,36], as shown in Figure 5.
On the path of CMOS miniaturization, the smaller contact size leads to higher contact resistance and contact-to-gate capacitance. Normally, the parasitic effects had no big impacts on the transistor performance because they were significantly smaller than the resistance and capacitance of the channel. However, both these effects becomes proportional to the gate length, which has been significantly reduced during past years. The parasitic effects became comparable or even larger than the intrinsic channel (gate and body) capacitance and resistance, as is shown in Figure 6.
The contacted CD of FinFET or lateral GAA NW device is 18 nm for the 10-nm node and the contacted CDs are expected to be 16 nm, 14 nm, and 12 nm for 7 nm, 5 nm, and 3 nm in the future, respectively [37], as is shown in Figure 7. The contacted resistivity has reached sub 10−9 Ω·cm2 for advanced CMOS FinFET beyond 7 nm, and the values need to be smaller in the future [38].

4.2. Challenges in FinFETs’ Process

Electrical characteristics of FinFETs are related to the fins’ profile and dimensions [39]. In order to transport higher current, longer fins are required, which leads to several challenges to manufacture transistors, as shown in Figure 8.
The process of long Si fins creates difficulty for the integration of poly gate, spacer, and replacement metal gate. One of the problems’ roots is not easy to etch the poly gate with a high aspect ratio [39]. Charging and micro-loading of etching results in variable Lg. An over-etch process is needed to clean the poly residual, and to remove the offset spacers on the fin-sidewalls [40,41]. Unfortunately, both these over-etchings result in damages of the Si fins. A remarkable Si loss may occur after wet cleaning and the solution has to be more diluted and should be used at low temperatures. Therefore, the dry and wet etching need more attention to be optimized to produce 3D gates with minimum Lg variation and Si loss in fins.
The patterning of fins is performed by SADP [42]. In this process, the depth of fin etching is usually determined by time. Meanwhile, the fins located at the edge of the wafer may show larger profile variation compared to those in the middle. To obtain uniform fin dimensions, dummy features could be used [43]. In this case, some dummy fins are necessary to be cut at the pitch. It is important to mention that, when the fin pitch shrinks and becomes compatible to the overlay limit, cutting fins becomes more difficult. Other steps of the FinFET’s process, e.g., fin isolation by STI and channel-stopper doping become more challenging, because the tighter pitch makes it more difficult to control the STI profile as well as doping variation.
In conclusion, in order to maintain the integrity of fins with a high aspect ratio is a challenging task [32]. The dry etching of fins is not a straight forward task because of the 3D topography. Thus, a plasma pulsing scheme is viable to decrease Si loss [39]. In a similar way, the oxidation of fins is a non-uniform process and it is faster at corner and tip areas.
One of the important issues in the FinFETs process is doping the fins [44,45]. A conformal doping profile in the S/D and extension regions has to be performed to create uniform carrier conduction in the fin-channel. The tight pitch of the fins restricts the incident beam angle in ion implantation (I/I), and may result in shadowing neighboring fins.
During the I/I, the Si fins become amorphous and, later, an annealing treatment is applied for re-crystallization. Unfortunately, this thermal treatment usually leads to poor dopant activation and formation of defects [44]. The poor fin quality has strong impact on the epitaxial quality of SiGe in S/D epitaxy as well as contact resistance in those regions. An increase of Si wafer temperature during I/I could be an appropriate solution to decrease the amorphous depth and fin damage [44,45]. Several reports have presented different innovative doping methods. Solid-source doping, molecular monolayer doping, and conformal plasma doping can improve the doping profile.

5. SiGe Epitaxy of Nano-Scaled Transistors

SiGe was integrated in S/D regions as stressor material for the first time in the 90-nm technology node to induce uniaxial strain in the channel region. Selective epitaxy growth (SEG) of SiGe was applied to fill out the recessed S/D regions. The advantage of uniaxial strain to the biaxial one is higher carrier mobility even for high electric fields. The embedded SiGe in S/D regions has been continuously increased from 19% to 45% when the transistors were miniaturized from 90-nm to 22-nm nodes [46,47,48,49,50]. In a 45-nm node, the shape of recess in S/D regions was modified from a round shape to sigma shape in order to further increase the SiGe strain since the layers could be located closer to the channel [51,52,53].
Beyond the 22-nm node (3D transistors), the SiGe layers are being grown on the Si fin to raise the S/D regions. The summary of Ge contents in S/D regions for different technology nodes is shown in Figure 9.
The SEG of SiGe on Si-Fin and nanowires has its own complexity and challenges. The SiGe growth may suffer from a series of problems: facet formation [54,55], defects, micro-loading, non-uniform strain distribution, surface roughness, and the pattern dependency effect [56,57,58,59,60]. Among those problems, the pattern dependency effect occurs when the density and size of the transistor vary in a chip. The reason for the pattern dependency of SEG is mainly non-uniform consumption of reactant gas molecules when the exposed Si area varies in a chip. Hence, more careful optimization of the growth parameters as well as redesigning chip layout for uniform exposed Si areas could create uniform gas consumption during epitaxy for a successful process [56,57,58,59,60].
Currently, there is a strong attitude to replace the Si channel with SiGe or Ge in FinFETs with a high aspect ratio. The idea behind is to terminate the formed defects close to the fins’ sidewall during epitaxial growth. This method is also called high aspect ratio trapping (ART) and by using it, high-quality film in the vertical direction is obtained.
There are two methods to remove the Si and form the trench including the wet etch using Tetramethylammonium hydroxide (TMAH) and the vapor etch using HCl inside the CVD (chemical vapor deposition) chamber. The biggest difference between these two methods is the control of the silicon morphology at the bottom of the trench. A “V-shape” (111)-oriented of Si crystal is formed in the TMAH etch. Meanwhile, the HCl vapor method offers (311)-oriented facets of Si at the bottom of the trench, as shown in Figure 10a–c.
After filling the fins, the Chemical Mechanical Polish (CMP) technique is used to planarize the lateral overgrown part. Later, the STI oxide is removed by diluted HF solution to expose the Ge or SiGe fin. Figure 11 is the process scheme of SiGe or Ge SEG in the channel region in a FinFET structure. The process initiates to form a “V-shaped” Si recess and growth of the strain relaxed buffer (SRB) of Si0.3Ge0.7 [62].
For a better control over a short channel effect, a more aggressive design e.g., the Gate-All-Around (GAA) structure is proposed to be integrated in the near future. For such transistors, SiGe or Ge are proposed to be grown as channel material for high mobility.
For the sub 10-nm technology node and beyond, the nano-wire device might be one of the promising candidates to obtain better gate control and lower leakage current [63,64,65,66]. In this approach, SiGe/Si multi-layers are grown where either SiGe or Si can be etched selectively to form channel regions for NWs. Figure 12 illustrates an image of a multilayer SiGe/Si structure with eight periods for forming vertical NWs.

6. Monolayer Doping

Monolayer doping (MLD) is a self-assembly doping process, which can be applied for doping NWs. This doping method is dominated by a surface chemical reaction between the semiconductor substrate and dopant-containing organic molecules [67,68,69,70,71]. Compared to conventional implantation, MLD introduces fewer defects into the substrates [72,73,74,75] and the dopant-containing molecules could attach uniformly on the surface, which results in a better conformal doping profile [73].
The basic procedure of MLD for nano-sheets is shown in Figure 13 [70]. However, the process could be easily used for NWs. The solution aqueous HF or NH4F was first used to remove the native oxide on the surface and to obtain a hydrogen-terminated surface. Later, the substrate will be immersed into dopants containing liquids or solution of organic materials [70,71,72,73,74,75]. The organic material could be dopant atoms contained in alkene or alkyne. After that, a low temperature treatment or light irradiation will be adopted to enable a reaction, which is called hydrosilylation to form a covalent bond between the dopant containing molecules and Si atoms of the substrate’s surface [70]. A conformal doped junction is formed by capping a thin SiO2 layer and high temperature RTP (rapid thermal processing) annealing to drive the dopant into the substrate.
The tuning of doping concentration in the MLD process could be realized by either changing the amount of the dopant atom in a molecule (dopant enriched adsorbate) to improve the packing density or by mixing the dopant adsorbate with molecules that lack dopants to reduce the dopant quantity at the interface. The final junction doping level is always determined by dopant solid solubility of the dopant element [71,74].

7. Plasma Doping

Plasma doping is a method based on when the dopants are adsorbed conformally on the surface of Si during the wafer, which is immersed into the plasma and dopant radicals in plasma. The uniform doping profile can be obtained by plasma doping and the damage to the surface can be suppressed by controlling the plasma energy. Recently, this technology has been improved by introducing ion energy decoupled plasma doping. This is based on a pretreatment to corporate decoupled plasma doping. In this way, the dopant level was remarkably raised and the surface damage could be decreased by heating the wafer during the process [68,75,76].

8. High-k & Metal Gate (HKMG)

In downscaling of MOSFET, SiO2 high-k material was eventually replaced with high-k material and the gate formation in the process flow was moved to the last in order to save the high-k integrity.
Table 1 summarizes the thickness of the materials in the gate stack (high-k dielectric and SiOx) from a 45-nm to a 5-nm technology node [77,78,79,80]. The thickness of SiOx decreased dramatically from ~1.2 nm in a 45-nm node to ~0.6 nm in a 14-nm node. In a similar way, high-k dielectric (HfO2) decreased from ~1.5 nm in a 45-nm node to ~1.2 nm in a 14-nm node. As a result, the equivalent oxide thickness of gate dielectric was decreased. In the 14-nm node CMOS, the thickness of SiOx and HfO2 have significantly decreased to ~0.6 and ~1.2 nm, compared to ~1.1 and ~1.0 nm for transistors in a 22-nm node. The 0.6 nm of SiOx contains only four or five layers of atoms, which is very thin. In addition, for reliability of the gate stack, the thickness of SiOx is an important issue and, consequently, cannot be further decreased. Therefore, it is expected that the thicknesses of SiOx and HfO2 would be considered ~0.5 nm and ~1.0 nm beyond a 5-nm node.
Therefore, for high-k dielectric gate stack beyond the 5nm CMOS, almost the same gate stack (HfO2 and SiOx) will be built and the thickness of these two materials will be nearly unchanged compared with the 14-nm technology node. This is due to the direct tunneling current, which increases exponentially with thinner film thickness.
For the metal-gate, the N metal for NMOSFET (N-type metal-oxide-semiconductor field effect transistor) is still TiAl-based material, and the P metal for PMOSFET (P-type metal-oxide-semiconductor field effect transistor) is TiN. The work function metals for the NMOS (N-type metal-oxide-semiconductor) and PMOS (P-type metal-oxide-semiconductor) in 45 nm and 32 nm node were TiAlN and TiN, respectively [4,81]. Through the tremendous downscaling of CMOS starting from FinFET in a 22-nm node to the GAA (nanowire) structure, the electrostatic gate control is improved. This decreases the requirement of the metal-gate work function [41].
For the GAA structure, the gate-fill is a challenging task, and this increases the requirement of further decreasing the metal-gate thicknesses. Beyond the 5-nm technology node, the thicknesses of the TiAl and TiN metals are expected to be ~1.0 nm and ~1.2 nm, respectively.
For transistors beyond the 5-nm node, the device performance cannot be further improved by optimizing gate stacks. The high-k dielectric and metal-gate are simply very thin and cannot be further decreased. For the GAA device structure, the deposition of HKMG requires precision in atomic levels. The ALD technique offers a good control for layer thickness of HfO2 and TiN. However, for NMOSFET, it is relatively difficult to acquire the N-type work function metal due to the precursor limitation. In this field, TaCy [82], TaCN [83], TiC [84], WC0.4 [85], and ErC2 [86] were studied for NMOSFET application. However, in most of these processes, plasma enhanced ALD (or PEALD) was used. To some extent, thermal ALD without plasma damage is more suitable for the metal gate. TiAlX films as the metal gate were developed by Chao et al. by the thermal ALD technique using TiCl4, TMA (Al(CH3)3), and NH3. It was demonstrated that NH3 presence in the TiCl4 and TMA reaction makes the film more like TiAlN(C) while its absence makes the film turn to TiAlC. The TiAlC film has a smaller effective work function than the TiAlN(C) film [87]. The effective work function can be tailored from 4.49 eV to 4.79 eV by tuning the process conditions [88]. By introducing the triethylaluminum (TEA) into the process, more Al-doping is obtained in the TiAlC film due the reaction of TEA with TiCl4. The effective work function of the TiAlC with TEA as a precursor can be tunable from 4.46 eV to 4.24 eV [89]. The deposition of TaAlC films using TMA and TEA has almost the same effective work function as TiAlC films grown by TMA and TEA separately, which provide more choices for metal gate selection [90,91]. The effective work function of different metals for NMOSFET are summarized in Table 2 [82,83,84,85,86,87,88,89,90,91].
High-k material can be applied for a more complicated transistor design, e.g., negative capacitance FET (NCFET). This type of transistor is a strong potential device beyond the 5-nm node CMOS. The reason for choosing NCFET is due to its dramatic improvement in a sub-threshold swing, which has compatible process flow with the conventional CMOS technology, and on-current enhancement [92]. The high-k materials suitable for NCFET are considered to be HfZrO and HfO2 with a thickness below 5 nm.

9. Interconnections in CMOS

Tungsten (W) has excellent thermal stability, the highest melting point among all metals, and perfect resistance to electro-migration (EM). The tungsten plug has been used for metal interconnection in integrated circuits to connect different layers of metals to nano transistors. ALD has been widely used for deposition of tungsten. ALD W has been used as gate filling metal (HKMG-last) due to its properties for trench filling [93]. ALD W can be selectively deposited and this is important for advanced sub-10 nanometer transistors, which needs good alignment to underlying structures, and edge definition [94]. W films have an α-phase and a β-phase with different morphologies and electrical properties. W films with an α-phase have the lowest resistivity and an important role in the logic MOSFETs.
The common precursors used for ALD W are SiH4 [95,96,97,98,99,100,101,102,103,104,105,106,107,108], Si2H6 [99], and B2H6 [100,101,102,103]. Different precursors will form films with different phases. Qiang Xu et al. studied the adhesion, roughness, and pore filling ability of ALD W films using different growth methods and different precursors for the 22-nm technology node, as shown in Figure 14 [104]. The authors demonstrated that the roughness of the W film grown by the ALD technique is clearly better than that of CVD. The roughness of ALD films grown by SiH4 is better than that of the B2H6 precursors. However, the filling performance of SiH4 is worse than that of B2H6.
In order to further understand the internal morphology of the ALD W film, Wang et al. measured the morphology of these films by using the XRD technique. It was found that there were two kinds of crystalline phases in the ALD W films grown by SiH4 as precursors, while the films grown with B2H6 were amorphous, as shown in Figure 15 [98].
In integrated circuits, ALD W with the α-phase is commonly used for metal interconnection or electrode filling. One way to grow tungsten films with α-phase on SiO2 is to use WF6 as a precursor and H using hot-wire (HW) assisted atomic layer deposition (HWALD) [105]. Kim et al. demonstrated that tungsten films using B2H6 and WF6 precursors create large grain size α-phase tungsten at 450 °C. Meanwhile, at 395 °C and applying a low flow rate of B2H6, smaller grains could be obtained [106].
The initial nucleation process in the growth of ALD has a great influence on the state of the subsequent films. The main factor affecting the nucleation of ALD is the surface active site density. For example, in the study of selective ALD deposition, it was found that hydroxyl bonds were formed on the surface of SiO2 after wet cleaning, which resulted in the nucleation of SiH4 and WF6 on the surface of SiO2 [94]. However, the nucleation is greatly delayed after the removal of surface hydroxyl groups by heating or using a precursor, which interact with the surface to change the surface characteristics. For example, when B2H6 and WF6 are used as precursors, pretreatment with B2H6 accelerates nucleation. At the same time, the resistivity of the film is reduced.
F.H. Fabreguette presented the Quartz crystal microbalance study of the tungsten atomic layer deposition using WF6 and Si2H6. This work found that the growth rate of ALD W was weakly temperature-dependent with an activation energy of 1.5 ±0.1 kcal/mol at T < 250 °C and a lower activation energy of 0.6 ± 0.3 kcal/mol at T > 275 °C [99].
At present, there are few research studies on the theory and interfacial states of ALD W. It is a valuable research direction to study the selective deposition on the basis of interfacial states and the formation mechanism for different crystal phases of W in the future. In the aspect of the device application, the process of preventing the diffusion of the F atom and the B atom through the TiN/Ti layer is also a valuable research direction in the integrated circuit.

10. Stressors SiNx Contact Etch Stop Layer (CESL) Technology

After integration of the SiGe stressor material in MOSFETs, a large effort was spent to find new methods to increase the strain amount. Among the various methods, stress liner technology, which is based on the SiNx contact etch stop layer (CESL) received more attention. The strain in these films could be tuned from highly tensile to highly compressive. The stressed nitride contact liners were incorporated into a high performance CMOS flow. This CESL approach resulted in N-FET/P-FET effective drive current enhancement of 15%/32% and saturated drive current enhancement of 11%/20%. In these transistors, a significant enhancement of 60% was achieved in whole mobility without using SiGe [107].
Another example is shown in Figure 16a,b where the CESL was used in Ω-gate CMOS NWs with N-FET. The carrier mobility of transistors lied in a range of 250 to 350 cm2/Vs for different gate widths [108].

10.1. High Tensile Stress CESL

Many growth techniques have been applied to deposit high tensile SiNx, e.g., LPCVD (low pressure chemical vapor deposition), ALD, and PECVD (plasma enhanced chemical vapor deposition). It is known that Si3N4 films produced by the LPCVD technique possess high tensile stress of 2 Gpa. However, the relatively high thermal process makes the process not compatible with Ni silicide [109]. Therefore, PECVD technology with a low thermal budget process was taken as the best choice to deposit CESL [110].
Unfortunately, the hydrogen in PECVD nitride film could not have been pushed out, which pulled down the film tensile stress to about 1 Gpa [111]. There are various reports that demonstrate methods. Plasma treatment and the ultraviolet thermal process (UVTP) can enhance the tensile stress. The latter method breaks Si-H and N-H bonds and pushes out H molecules. This method meets the demand of both high tensile stress, a low thermal budget, and stress amount as high as 1.7 Gpa can be obtained (see Figure 17).

10.2. High Compressive Stress CESL

In order to make high compressive stress CESL, both the RF power source and diluted gas have to be tuned. It is known that the compressive stress has a strong relationship with which a type of diluted gas is used. For example, the compressive value is as low as ~1.2 Gpa when nitrogen is used as diluted gas whereas a mixture of argon and nitrogen could highly increase the compressive stress to ~2.3 Gpa [112]. The compressive strain could be further increased to ~3.1 Gpa by using a diluted gas of hydrogen and argon mixture. The hydrogen reduces the energy loss during bombardment. To obtain further improvement, it is necessary to improve the film’s elasticity modulus by applying the carbon element, which could impel hydrogen volatilize (less hydrogen and higher compressive stress). In this case, the SiH4 precursor has to be replaced with TMS (tetramethylsilane), which contain a carbon element and compressive stress could reach close to 3.5 Gpa.

11. Etching Evolution

Miniaturizing the transistor according to the principles shown in Figure 2 occurred when equivalent oxide thickness (EOT), transistor gate length, and transistor width were scaled down by a constant factor. However, this trend is followed very differently when the CMOS scaling focuses more on low voltages and low power consumption. By entering the 10-nm technology node, the silicon channel is being gradually replaced with silicon-germanium (SiGe), germanium (Ge), or III-V materials because they have remarkably higher carrier mobility [113]. For example, 40,000 cm2·V−1·s−1 for InGaAs [114] (for electrons) and 1900 cm2·V−1·s−1 for Ge [115] (for holes) compared to 1400 cm2·V−1·s−1 for electrons and 450 cm2·V−1·s−1 for holes in silicon [116]. Not only is the channel material changed, but the transistor shape is changed from a simple fin-like shape to a lateral gate all around (LGAA) or vertical gate all around (VGAA) in order to obtain transport control through the channel region. It means that the fabrication of state-of-art transistors need to be modified.
In this case, a dummy gate poly crystalline was initially formed as a replacement metal gate (RMG) as well as silicon dioxide, which was deposited as dummy gate oxide to give the green light for all the high temperature-annealing processes [117]. Eventually, the gate was removed by a wet process using none metal alkaline solutions. The merits of RMG are first addressed to avoid crystallizations of the high-k dielectric during the rapid thermal annealing (RTA) process for dopants activation, which may increase leakage current of the gates [117]. Second, it avoids the chemical reactions between the metal-gate and the high-k in RTA processes [118] or it avoids the boron diffusion into high-k [118,119].
The RMG process is still used and will be applied for the 7 nm and 5 nm technology node, where the sacrificial material is Si and will be selectively removed from the SiGe channel [120]. The exponential decrease in the alkaline etch rate of SiGe with increasing Ge content enables the selective removal of Si to Si0.75Ge0.25. [121,122,123,124]. Alkaline etching of Si has been extensively studied and is well understood [125,126]. The etch rate in Si (001) and (110) directions is remarkably faster compared to the Si (111) crystallographic planes [127]. As shown in Figure 18, the selective etching of Si to SiGe was performed at a TMAH 5% solution at 60 °C. In both pictures, the Si underneath material of the NW stack is completely removed. The 7-nm thick Si layers, which are sandwiched in between the Si0.75Ge0.25NWs are removed until the (111) limiting planes are formed.
In fabricating the SiGe NWs from the Si/SiGe stack, the conventional alkaline Si etchant such as TMAH (aq) poorly removes the Si sacrificial layer. The selectivity of the Si-vs-SiGe etch is only marginal [128,129]. A surface modifier is employed in ACT® SG-201, which improves the relative etch rates of Si (110) and Si (111) orientations. This solution results in etching selectivity of Si (110)/Si (100) in the range of 1 to 2.5 and Si (111)/Si (100) of about 0.5 or above. By a combination of the Si surface modifier and an effective SiGe corrosion inhibitor in ACT® SG-201, the selectivity of Si (111)/SiGe 25% is significantly improved as compared to the conventional Si etchants. Consequently, ACT® SG-201 is more efficient in removing the sacrificial Si layer in the Si/SiGe stack [130]. The reduced Si etch rate anisotropy in combination with an effective SiGe corrosion inhibitor prevents SiGe loss during the NW release [130].
The sacrificial material is SiGe and will be selectively removed from the Ge channel [131,132,133]. For example, SiGe can be selectively etched to Ge in diluted TMAH 5–25% at 90 °C. Figure 19 shows the Si0.5Ge0.5/Ge NWs after selective etching in TMAH 15 or 25%. The Ge NW is not etched in the solution while the Si0.5Ge0.5 and substrate are etched anisotropically. The undercut for the 25% TMAH solution is more than for the 15% solution one. The etch rate of the (001), (110), and (111) crystallographic planes of Si0.5Ge0.5 were estimated from these cross-sectional scanning electron microscopy (XSEM) images (see Figure 19b,c). The etch rate of the different planes is decreasing in magnitude from (001) to (111) to (110) plane. If the selective removal of Ge is the goal, then oxidizing solutions, e.g., using SC1 solutions can be used due to the high solubility of the Ge-oxide [122,134].
In fabricating the Ge NW from the SiGe/ Ge stack, two different (i.e., alkaline vs acidic) chemical solutions have been investigated. The alkaline solution (5% TMAH) shows anisotropic SiGe etch behavior as well as a decrease of etch rate when the Ge content is higher than 50%. To overcome the anisotropic etch problem in the alkaline solution, isotropic SiGe etch behavior and better Ge protection are required. Different from the Ge etchant with mixtures of HF-H2O2-H2O [135], the formulated solution ACT® SG-301 employs a selective oxidizer [130], a SiGe etchant, an effective Ge corrosion inhibitor, and a well-designed solvent system for polarity adjustment. By suitable pH control, the oxidized SiGe sacrificial layer could be effectively removed and the Ge NW damage could be minimized [130].

12. BEOL for Nano-Scale Transistors

Interconnects are a fundamental element of any microelectronic circuit. As semiconductor technology keeps evolving along the trajectory predicted by the Moore’s Law, the CD of the BEOL circuits must continue shrinking. However, the scaling of the interconnect dimensions will be led to the deterioration of the interconnect performance and reliability [136]. Twenty years ago, dual damascene copper replaced the subtractive etch of aluminum and the method for BEOL interconnect fabrication [137]. Copper is expected to be used in scaled transistors in the future. However, as the CD narrows, filling the BEOL trench over the structure using the conventional physical vapor deposition-Electro chemical deposition (PVD-ECD) approach becomes more and more challenging. In the 5-nm technology node, interconnect half pitches are expected to reach dimensions of 12 nm [138,139]. For such narrow lines, the intrinsic properties of Cu start to severely limit the interconnect performance. At first, Cu resistivity is increased because of electron scattering at the sidewall and grain boundaries [140,141,142], which results in an exponential increase in resistivity and resistance. Secondly, there are limitations in scaling the diffusion barrier for the currently used Cu dual-damascene process, which increasingly reduces the Cu volume in interconnect lines [143,144]. Thirdly, barriers and liners do not scale well since strongly reduced thicknesses negatively affect the dielectric breakdown as well as the electromigration (EM) properties [144]. Hence, these issues eventually stop scalable solutions for interconnects.
Materials innovation and integration improvement are the requirements for diffusion barriers in combination with low-k dielectrics, the resistance to EM, and lower resistance than the combination of Cu and barrier layers in small dimensions. According to these requirements, there are two methods to improve them. One is to partially modify traditional Cu integration process and the other is to use new materials to replace the Cu integration process.
As the critical dimension continues to shrink to a 5-nm node, the bilayer approach (PVD TaN/Ta) faces scaling challenges, e.g., thickness control and PVD TaN over-hang. Wu et al. reported a novel approach to use thin (≤ 15 Å) ALD-based TaN barriers [145] and Co liner instead of copper electroplating. The use of a post-ALD treatment in a PVD chamber resulted in ALD films with resistivity, density, and a Ta/N ratio similar to industry-standard PVD TaN. This approach enables the conformal Cu barrier without reliability degradation compared to PVD TaN [145]. This new method overcomes the shadowing effect of the traditional PVD approach and improves the metal-fill process window. Furthermore, this method promotes lower via-resistance through barrier thickness reduction, which proves it to be a viable Cu-barrier candidate for the 5-nm node and beyond. However, this approach would only be a short-term alternative due to a size effect of Cu resistivity and TaN high resistance [146].
Van der Veen et al. [147] and Zhang et al. [148] introduced Co via-prefill concept to achieve void-free and bottom-up fill of metal in advanced interconnects, as shown in Figure 20. The via-prefill is beneficial for the Cu damascene process. The direct contact of Co and Cu at the bottom without TaN/Ta barrier interface results in a reduction of via-resistance. Moreover, Co is expected to have a better EM performance compared to Cu due to its higher melting point [143,146,149,150].
Zhang et al. reported, for the first time, a highly selective CVD Co deposition on Cu to fill a 45 nm diameter with 3:1 aspect ratio in a Cu dual damascene structure [148]. The results showed void- free Co-fill of the vias. interuniversity microelectronics centre (IMEC) demonstrated the feasibility of the prefill concept using the electroless deposition (ELD) technique for Co as material to pre-fill vias [147,151,152]. The main benefit of having Co vias is the reduction of the via-resistance. As the via CD shrinks, vias with ELD-Co show larger resistance reduction compared to the conventional PVD-EC Dones. As an example, at 40 nm via critical dimension (CD), the via-resistance reduction is ~30% [151]. For 12 nm chamfered vias with 3 nm metal barrier and Co via prefill, 45% resistance reduction can be achieved [152]. Therefore, the selective Co process for contact and via prefill has the potential to enable future scaling of the advanced technology node.
Marleen et al. benchmarked Ru, Co, and Cu in a damascene vehicle with scaled dimensions down to 11 nm CD and for different aspect ratios [142]. The Ru and Co NWs have higher resistivity, but Ru and Co are both superior to Cu for trenches smaller than 250 nm2. The difference in resistance for the Ru, Co, and Cu is clearly increased with the decrease of the total wire area, as illustrated in Figure 21 [142]. The slope for Cu increases and it crosses over to Co and Ru at 400 nm2. Ru effective resistance potentially crosses the Cu curve at 14 nm by assuming that the Cu barrier/liner thickness was 2 nm. The cross-point would happen at 8 nm with 1 nm Cu barrier/liner thickness [141]. Moreover, the EM performance reveals that the barrier-less Ru systems are robust with higher lifetime compared to Cu and Co [142]. These properties make Ru an attractive interconnect candidate for small line widths.
ALD Ru was studied as an option for barrier-less metallization for the future interconnects [141]. Ru shows regular nucleation on SiO2 without any growth inhibition. The adhesion was significantly increased to 7.0 ± 2.3 J/m2 by applying an ALD TiN adhesion promoting layer with a thickness as low as 0.25 nm. The Ru lines with widths of about 10 nm, which show excellent EM behavior on a single damascene test vehicle. Time-dependent dielectric breakdown measurements revealed negligible Ru ion drift into dense low-k dielectrics with k ∼3.0 up to 200 °C, which demonstrates that Ru has the potential to be used as a barrierless metallization as a future advanced interconnect solution.
Currently, the damascene implementation of Ru lines is hampered by the availability of optimized CMP. A semi-damascene integration approach is a proposed solution for the multi-level Ru interconnect [153]. This method is formed in low-k and then followed by filling both the via and the trench layers with a single deposition step. Key advantages are that the process can be barrier-less, the grain size can be tuned, and there is no requirement for metal CMP. The trench layer is then patterned using subtractive etch, which eliminates the need for plasma processing of low-k trenches. Ru films were patterned using EUV single exposure and subtractive etch to generate lines with CD down to 10.5 nm. This approach has excellent process control, stability, and results in a very high line yield. These results indicate that the subtractive etch of Ru could be a viable interconnect candidate for advanced technology nodes.
Plasma enhanced chemical vapor deposition Co was evaluated to fill dual damascene (DD) structures as an interconnect wiring metal alternative to Cu [139]. The void-free gap fill of damascene structures down to 10 nm CD was demonstrated using just 1 nm ALD TiN liner. A CMP process without Co residues or corrosion has been developed. 22 nm half-pitch Co lines with 1 nm ALD TiN liner in porous ultra low-k (ULk) meet the 10-year lifetime time-dependent dielectric breakdown (TDDB) reliability requirement in Figure 22 [139]. EM data indicates that the Co EM performance with 1 nm ALD TiN liner can be better than that of Cu in Figure 23 [139].
In response to the scaling challenges, Intel introduces a new metallization solution to meet the reliability challenges of technology scaling [154]. At trench contact, electroplating of Co occurs on a chemical vapor deposition (CVD) TiN barrier/adhesion and CVD Co seed layer. EM time to failure is observed to be at least four orders of magnitude higher for Co fill interconnects compared to the Cu alloy (see Figure 24). Moreover, superior intrinsic TDDB and stress induced voiding reliability was also demonstrated for Co low-k interconnects. Co shows superior intrinsic properties with respect to Cu.
Chemical vapor deposited tungsten (CVD W) based middle-of-the-line (MOL) contacts and local interconnects have been extensively used in high-performance CMOS logic IC’s. The standard process scheme has included a TiN adhesion layer to dielectrics and a nucleation W layer for CVD W, but these layers consume most of the volume in narrow features. A major challenge for W fill scaling is that the line resistance of sheet Rs and plug resistance of contact Rc increase due to a reduction in the volume of the low resistance CVD W bulk material. Recent simulation results of the local interconnect resistance indicated that the M0 and the contact would become dominant contributors to a resistance increase at the 5-nm process node [155]. W M0 and Contact comprise 65% of the total M0/M1 stack resistance due to a narrow CD. Contact shows 43% reduction in M0/M1 resistance.
CVD Cobalt (Co) has been used in recent studies as replacement for W in local interconnect showing a 2.5× line resistance reduction, void-free and seamless fill at the local interconnect level [156]. Unlike W, Co metal does not need high resistivity nucleation layers and can be annealed at low temperature to undergo grain growth and reflow into the high aspect ratio contact plug. Moreover, CVD Co precursors do not attack Ti liner, which enables barrier thickness scaling. The resistivity of Ru [157] is comparable to that of Co in terms of 7 nm MOL critical dimensions. Both Ru and Co have better liner/barrier scalability compared to W. Susan Su-Chen Fanet al. demonstrated Ru metallization assessment on 7 nm MOL with remarkable resistance reduction in the S/D contact and MOL local interconnect [158]. Table 3 summarizes some MOL Metallization Options [158].
Moreover, the Co/CoTix structure on SiO2/p-Si was investigated to evaluate its feasibility to replace conventional W/TiN/Ti structure of MOL in a future technology node [158,159,160]. An alloy of Co-20 at.%Ti is chosen as a single layer liner/barrier to replace Ti/TiN. Since the binary phase diagram of the Co-Ti system shows a deep eutectic point at 24.2 at.%Ti, an amorphous phase can be formed as a metastable state, which is a prerequisite structure for a barrier layer. Good adhesion and low film resistivity between Co and SiO2was attained by CoTix in as-deposited and annealed samples. The results indicate that the 3 nm thick CoTix layer has an excellent diffusion barrier property against Co diffusion at the elevated temperature 500 °C. Therefore, the amorphous CoTix alloy could be a promising liner/barrier material in combination with the Co M0/contact structure for advanced technology of the 5-nm node.

13. Reliability

For advanced CMOS technology, the ultra-scale FinFET and Nano sheets are the best candidates for the beyond 5-nm technology node. The reliability issues are becoming more complicated due to the novel materials, novel process, novel integration, and novel structures for the performance improvement.

13.1. New Material—Ge/GeSi

In order to improve the device performance, the novel materials, such as GeSi/Ge, is applied in channel or S/D regions [161,162,163,164,165]. In contrary to traditional silicon technology, the structure of high-k and metal gate (HK & MG) stack is changed/improved by novel material application but, at the same time, the interface quality between substrate and gate insulator becomes worse. Therefore, interface quality and reliability of novel materials are actively researched. Based on those, a Si-passivated option was proposed by inserting a thin silicon passivated monolayer between Ge layer and SiO2 to improve interface quality and reliability together [161,162,163]. In this case, the band alignment of Ge and Si shows the different carrier transport mechanism under bias temperature instability (BTI) stress. Therefore, the BTI is clearly improved, as shown in Figure 25a. Moreover, in Figure 25b, the two types of electron traps are investigated, which is related to the HK layer thickness and Si-cap growth condition [165]. Furthermore, this is a big issue for the reliability model for new materials, such as the negative bias instability (NBTI) model of SiGe [166,167]. The novel materials can worsen the interface quality and cause serious reliability problems. Therefore, the solution to suppress reliability degradation and to improve performance are important issues.

13.2. New Process—Dipole Formation

In advanced CMOS technology, in order to reduce the influence of the thermal budget on junction and channel quality, introducing the novel materials and processes are necessary in gate engineering. Therefore, the dipole formation in the gate stack is widely applied in the Replacement Metal Gate (RMG) process [168,169,170,171,172,173]. Usually, Lanthanum (La) and Aluminum (Al) are used to tune threshold voltage for NFET and PFET due to the different dipole polarity [168,169,170,171]. This includes metal deposition (La or Al) and annealing treatment with or without a capping layer [168]. It has been reported that La induced dipole effectively, which makes VT decrease and positive bias temperature instability (PBTI) improve, but NBTI is worsened [168,169]. Meanwhile, the Al induced dipole increases VT and BTI becomes worse as well. These results are explained by the band-diagram at the same E-field and Vg stress, as shown in Figure 26 [168]. Recently, IBM and the Applied Material proposed ALD Mg-based dipole method for multi-VT tuning application. The results discuss the electrical parameters changing by the Mg-based dipole. However, there are no reliability investigations [168].

13.3. New Integration—Physical Mechanism

Similar to the novel process, the novel integration is also necessary for performance and reliability improvement especially in gate engineering [162,163,164,174,175]. As an example, Simple Gate Metal Anneal (SIGMA) [171] is applied for a thin TiN layer as a work function (WF) metal for CMOS (red-marked in Figure 27a). The PBTI lifetime is 100× improved due to the oxygen vacancy effectively decreasing during α-si removal. The CMOS integration flow together with a mechanism for PBTI improvement, which are shown as a band diagram in Figure 27b. For the reliability study, the impurity implantation in the HK&MG stack, such as “Nitrogen Implantation” in the work function metal layer [170] and the thickness tuning of an effective work function metal (EWF) can be investigated [170,171,172,173]. The advantage of such a study is to control the VT shift over a processed wafer, which provides very valuable information for chipmakers. This is a feedback between reliability improvement and novel integration.

13.4. New Structure—Self-Heating (SH) and Random Telegraph Noise (RTN)

In CMOS miniaturization, the random telegraph noise (RTN) needs to be paid more attention to as an indicator for problem source acting on the transistor performance. For advanced CMOS, the self-heating becomes a more serious matter and this has been widely studied [176,177,178,179]. It has been reported that PFET has higher RTN than NFET due to an extrinsic origin caused by SiGe in the S/D [177]. Moreover, based on simulation results, the nano-sheet devices exhibit better resilience to a self-heating effect (SHE) in comparison to the FinFETs [177]. In general, SHE is very sensitive to layout design, hot-carrier degradation (HCD), and bias temperature instability (BTI) [178,179]. In the layout design of the nano sheet devices, the width of the nano sheet (Wsh) is the key parameter, which provides a flexible choice to make trade-offs between thermal properties and electrical performance in nanosheet FETs, compared with the NW FETs (see Figure 28) [179]. Usually, the random telegraph noise (RTN) is generated by a single trap, which is explained by the “normal” two-state trap model. This model considers the RTNs caused by two or more traps in a device, which are regarded as independent and may have a superposition effect [180,181,182,183]. In general, two categories of RTNs are induced: those by the metastable trap-states and the others by the trap coupling effect, as shown in Figure 29 [180,181]. It has proposed a novel RTN-true random number generators (TRNGs) design, which provides a solution to address speed, design area, power consumption, reliability, and cost simultaneously [182]. In the RTN study of Ge NW nMOSFETs, it shows that the low frequency noise decreases when the channel length scales down from 80 nm to 40 nm because of the near-ballistic transport of electrons [183].

14. Channel Materials for Beyond Moore Era

14.1. III-V on Silicon

Chipmakers have declined III-V materials at the 7-nm node but the main question still remains whether III-V will happen in advanced CMOS.
There are a lot of challenges in integrating III-V materials on silicon, ranging from epitaxy to etching. For example, the etch rates for ternary compound materials, e.g., InGaAs with complex concentration has to be properly controlled where all atoms will be removed at the same pace. Otherwise, the diffusion of arsenic during a different process could lead to cross-contamination [36].
Whatever, the next step in CMOS technology is, we have to implement the right process for integration of III-V materials on silicon, which are categorized in the three following ways: blanket epitaxy [184,185], selective epitaxy [186,187], and wafer bonding [188].
IMEC demonstrated III-V FinFET and III-V parallel Gate-All-Around (GAA) FET on a silicon substrate by ART technology [186]. They reported InGaAs GAA FETs with channel width down to 7 nm and Lg down to 36 nm, which is the smallest dimensions reported about III-V materials devices on 300 mm Si wafer. Figure 30 shows the TEM of completed devices. ION above 200 μA/μm is obtained at IOFF of 100 nA/μm and VDS = 0.5 V on a 300 mm Si platform. The InGaAs S/D improves the peak gm by 25% relative to InAs S/D.
The same group presented a promising way to manufacture III-V vertical GAA FET [187]. They used an InGaAs nucleation layer for InAs NW SAE on Si and reached nearly 100% yield, but there was no further report on the III-V vertical device yet.
In recent years, IBM demonstrated a series of electronic devices including InGaAs-OI FinFET, InGaAs FET, III-V Tunnel FETs (TFETs), and hybrid InGaAs/SiGe CMOS on a silicon substrate [189,190,191,192,193,194] by using advanced template-assisted selective epitaxy technology [190].
They reported CMOS-compatible n-channel InGaAs-OI FinFETs by replacing the metal gate (RMG) process flow, as shown in Figure 31 [191,192,193]. The channel down to Lg = 50 nm with WFin = 15 nm has proven to have an excellent control on short channel effects [192]. The same device exhibited a record ION of 156µA/µmfor a supply voltage of 0.5 V and a fixed OFF-state current of 100 nA/µm, with a minimum sub-threshold swing of 92 mV/decade at VDS = 0.5 V and a drain-induced barrier lowering to 57 mV/V. This ION value is the highest reported to date for CMOS-compatible InGaAs devices integrated on Si.
Furthermore, they show record-performance InGaAs-on-Insulator FinFET with Lg down to 13 nm, where ION reaches to 249 µA/µm at fixed IOFF = 100 nA/μm and VD = 0.5 V. This work demonstrates the feasibility of high-performance III-V devices on Si at sub-7 nm nodes [193].

14.2. 2D Channel Materials

Over the last decade, various 2D materials have been discovered and studied as promising candidates for next-generation electronic materials due to their unique properties such as atomic thin thickness, high mechanical strength, transparency, and flexibility. There are several interesting 2D materials, which could be applied as channel material in CMOS for beyond the Moore era, as presented below.

14.2.1. Graphene

Graphene is a material composed of carbon atoms closely packed into a single layer two-dimensional honeycomb lattice structure. Graphene has many unique physical properties, which attract attention to use it as channel material beyond Moore.
(1) Ultra-high mobility: The mobility of the suspended exfoliated graphene is as high as about 2 × 105 cm2·V−1·s−1 without considering the charged impurities and ripples [195]. (2) Great thermal conductivity: The thermal conductivity of graphene is superior to that of carbon nanotubes and diamonds. Single-layer graphene has a thermal conductivity of up to 5300 W/mK, which is much higher than metals such as silver and copper with high thermal conductivity [196]. (3) Excellent light transmission: Graphene is almost completely transparent. It only absorbs 2.3% of light and allows all spectral light to pass evenly. Therefore, it also has great application potential in the field of optoelectronic devices [197].
Since the material has almost no bandgap, then a lot of works have been performed to create bandgap for graphene, which can be classified into three types: (1) fabricate graphene nanoribbons (GNR) by cutting graphene sheets into narrow strips, (2) grow bilayer graphene (BLG) by Bernal stacking, and (3) form a heterojunction in graphene by introducing a material with a non-zero bandgap as a barrier.

Single Layer Graphene Field Effect Transistors

The lack of bandgap in the single layer graphene results in such transistors not being able to turn off. Therefore, they can only be used in the field of radiofrequency (RF) circuits. Wu et al. studied the formation of graphene FETs on SiC substrates. The cutoff frequency of the device with a gate length of 40 nm can be increased up to 350 GHz, which is much better than silicon transistors (40 GHz) under the same conditions [198].

Graphene Nanoribbons Field Effect Transistors

When the width of graphene nanoribbons is less than 10 nm, it exhibits semiconductor characteristics. The bandgap of GNRs is inversely proportional to the ribbon width. Studies have shown that, as the GNR width decreases, the on/off ratio of devices increases. Jiao et al. reported a plasma etching process to produce high quality, narrow GNRs with a bandgap of ~15 meV [199]. Wang et al. demonstrated sub-10 nm GNRs FETs with Ion/Ioff ratio up to 106 and on-state current density as high as ~2000 μA/μm at room temperature [200].
Compared to large-area laminar graphene transistors, MOSFETs made from graphene nanoribbons have a significantly improved device current on/off ratio. If high-quality GNRs can be produced on a large scale in the future, such nanoribbon transistors will likely be applied to logic circuits in the future.

Bilayer Graphene Field Effect Transistors

If two graphene layers are asymmetrically stacked, so-called Bernal stacking, and a vertical electric field is applied to them, a bandgap can be generated in graphene. Zhou et al. determined the bandgap of graphene placed on SiC and the bandgap is 0.26 meV when there is only one graphene layer. As the number of layers increases, the bandgap will gradually decrease [201]. Liu et al. demonstrated a large number (>50) of dual-gated field-effect transistors with high on/off current ratios of 15 fabricated at random across the large-area bilayer CVD graphene film, which further confirms the quality of the synthesized graphene [202].
The BLG can be made to have a bandgap by the above method, but its value is small. These values so far are promising for the high mobility graphene application but is still insufficient for logic applications. The mobility of the carriers in the double-layered graphene structure is lower than that of the single-layer graphene without the bandgap.

Graphene Heterojunction Field Effect Transistors

In recent years, a heterojunction was formed on the surface and boundary of the graphene to form a heterojunction transistor by introducing a material with a non-zero bandgap as a barrier to generate a forbidden band. Graphene heterojunction transistors are generally classified into lateral heterojunctions and vertical heterojunctions. This method is a new research hotspot of graphene digital transistors. Moon et al. partially fluorinated the single-layer graphene to obtain a lateral heterojunction structure with a bandgap of about 2.93 eV and a current on/off ratio of 106 [203].
Although it is still a great challenge to fabricate a dielectric material that is lattice-matched with graphene, the vertical heterojunction structure can construct a tunnel transistor and achieve a high current on/off ratio of >107 [204]. In addition, graphene-based vertical heterostructures can be extended from FET electronics to optoelectronics, which is a promising direction.
In general, graphene field effect transistors (GFETs) still have a long way to go from practical applications. Although GFETs have broad application prospects, it also faces many challenges. In addition, other indicators for evaluating digital circuits, such as short channel effects, integration, and power consumption, should be considered.

14.2.2. Graphene-Like Materials as Channel Materials

Transition-metal dichalcogenides (TMDCs), e.g., MoS2, WSe2, WS2, etc. have emerged as a new class of semiconductors that display distinctive properties at the monolayer thickness. They can be used in electronic devices such as transistors and in optical components as emitters and detectors. The band gaps of TMDC monolayers are in the visible range (between 400 nm and 700 nm).
Classes and representative examples of 2D materials together with representative materials for each group are shown in Figure 32 [205].
A novel example for 2D transistors is shown in Figure 33. A dual-channel FET based on a vertically stacked hetero-structure of ultrathin n-type MoS2 and p-type WSe2 layers for the study of parallel carrier transport (electrons from MoS2 and holes from WSe2) have been demonstrated [206].
Atomic thin molybdenum disulfide (MoS2) is an ideal semiconductor material for field-effect transistors (FETs) with sub-10-nm channel lengths. The high effective mass and large bandgap of MoS2 minimize direct source-drain tunneling, while its atomic thin body maximizes the gate modulation efficiency in ultra-short-channel transistors. The sub-10 nm channel-length transistor was fabricated by directed self-assembly patterning of the mono-layer and tri-layer MoS2. This is done in a 7.5-nm half-pitch periodic chain of transistors where semiconducting (2H) MoS2 channel regions are connected to metallic-phase (1T) MoS2 and contact regions. The resulting 7.5-nm channel-length MoS2 FET has a low off current of 10 pA/µm, an on/off current ratio of >107, and a subthreshold swing of 120 mV/dec.
To demonstrate and benchmark MoS2 transistors with channel lengths below 10 nm, two important challenges need to be overcome, which include a suitable lithography technology and a low-contact resistance for the S/D to ensure that the channel resistance will dominate the device behavior. To reduce the contact resistance, a junction between the metallic phase of MoS2 (1T) and its semiconducting phase (2H) has been used (see Figure 34) [207].
More complicated 2D material transistors can be realized by using heterogeneous stacks. For example, MoS2 is used as the active channel material and hexagonal-BN as the top-gate dielectric with graphene S/D (see Figure 35). This transistor exhibits n-type behavior with an ON/OFF current ratio of >106, and an electron mobility of ∼33 cm2/Vs. The mobility does not degrade at high gate voltages, which presents an important advantage over conventional Si transistors where enhanced surface roughness scattering severely reduces carrier mobility values at high gate-fields [208].
(1) Tunnel Field Effect Transistors (TFET)
The next generation of transistors in the future has to offer a sub-threshold swing of sub-60 mV/decade with a supply voltage < 0.6 V. In this field, different devices e.g., negative-capacitance FETs [209,210] and tunnel FETs (TFET) [211,212] are proposed. TFET is a gated p-i-n diode where the carriers are injected from the source to the channel region through the band-to-band tunneling (BTBT) mechanism [213]. Therefore, TFTs offer remarkably low IOFF with a steep subthreshold slope.
Since the sub-threshold swing decreases with the gate voltage bias, then the transistors have to be manufactured for a low voltage supply. In order to obtain high tunneling current and a steep slope, the transmission probability through the tunneling barrier has to be close to unity for a small variation of gate voltage. Therefore, the bandgap, the effective carrier mass, and the screening tunneling length have to be minimized for high barrier transparency. Finding appropriate materials for TFETs is an issue to be solved [214,215]. For example, Si-based TFETs have reported poor sub-threshold swing and low ON-current14 due to indirect band gap of 1.12 eV, which causes phonon-assisted tunneling (PAT) [216]. Recent studies suggest 2D materials have great properties for TFET channel material due to their planar structure and mechanical flexibility, outstanding electrostatic integrity, and small band gap with low effective mass. In this case, Graphene nanoribbon [217,218], transition metal di-chalcogenides (MoS2, WS2, MoSe2, WSe2, MoTe2, etc.) [219,220] Phosphorene [221,222], and group IV mono-chalcogenides (GeSe, GeS, SnSe, SnS) have been proposed for TFETs. Both hetero-bilayer [223,224] and hetero-junction transistors 25 are designed. TFT (field-effect transistors) technology is still not mature and needs more reliable fabrication techniques for mass production.
(2) New Devices in the Near Future
Intel has demonstrated a spintronic logic device, so-called magnetoelectric spin–orbit (MESO), which can be scaled down in energy per operation to a level of switching energy. This is 30 times below today’s CMOS transistors. MESO may operate at a voltage around 100 mV, which is 5 times below any advanced CMOS. The device functions by a ferroelectric/magnetoelectric switching and topological conversion of spin to charge. In addition, the non-volatility property offers remarkably low standby power, which is crucial for modern computing. MESO has the potential to be developed for multi-generational computing in the future [225].

15. Advanced Characterization for Ultra-Miniaturized CMOS

The continuous refinement of semiconductor manufacturing technologies urges the key sizes of devices to be down scaled while more challenges are created in testing methodologies. The expected measurements are not only focusing on critical dimension and thickness, but also on the 3D device structure on a nanometer scale. In research development in the future, it is necessary to measure the critical dimensions of the device structure, thickness of thin film, surface and interface properties, physical properties, and surface defects. It is expected that the test equipment should have a high-precision, high-speed, and is non-destructive, which can be used to monitor in-line. The application of advanced measurement strategies of integrated circuit critical dimension in ultra-miniaturized CMOS are presented below.

15.1. CD-SEM

Traditional SEM imaging is slow and cannot meet the needs of the semiconductor industry. High throughput SEM has a high detection speed and has been prepared and developed by processes below 10 nm. High throughput SEM technology is a general term for the integrated application of multiple technologies, including immersion rocker objectives lens, focus tracking technology, charge control technology, and high-speed image acquisition system. Their application makes large-area high-precision scanning imaging a reality, and, compared to imaging speed of the traditional SEM, is more than 300 times faster, where the low-voltage resolution is up to the nanometer level [226].
CD-SEM is an important test device in the front-end process of integrated circuits. CD-SEM is mainly used for in-line measurement of critical dimensions and performance monitoring of critical equipment during chip manufacturing. CD-SEM also plays an important role in optical proximity correction (OPC) model modification. Its main feature is the rapid and accurate automatic image recognition ability. In addition, CD-SEM can realize more test methods by constant optimization and improvement of the algorithm, such as Edge Roughness evaluation, Gap, wiggling, overlay, and center gravity. The advantages of CD-SEM makes the tool more useful in some form of continued applications. However, with the development of integrated circuits in the future, CD-SEM demands improving the resolution and assisting the modeling of OPC 2D graphics [227].

15.2. 3D AFM (Atomic Force Microscope)

3D AFM technology is based on a non-contact technique. In addition, this tool can be tilted at angles up to 38 degrees. AFM testing can be applied in the dimensional space, resolving sidewall and analyzing three-dimensional doping that most testing techniques cannot measure. 3D AFM has the nondestructive measurement of nano precision and accuracy, which can realize automatic analysis and cooperate with efficient production. 3D AFM technology can be used in In-line monitoring and engineering analysis. It overcomes the weakness of CD SEM in measuring CD at the bottom of the sidewall, and can test data more accurately than OCD (optical critical dimension), which saves the derivation work of the optical measurement [228].

15.3. 3D APT (Atom Probe Tomography)

The principle of elemental analysis by TEM/STEM is that an electron beam is required to act on the material and then elemental analysis is performed based on the information of the scattered electrons. At present, the elemental analysis results of TEM/STEM are basically two-dimensional. Due to the limitation of the resolution, for many elements with low atomic number, such as C, N, O, and Al, the resolution of TEM/STEM is low, and energy dispersive spectrometer (EDS), EELS elemental analysis cannot easily distinguish the elements [205]. The above reasons make TEM/STEM not provide the required information in some occasions. APT technology can overcome the problem to distinguish light elements, and directly obtain the element distribution in three-dimensional space in solid samples, which is a significant advantage compared to TEM/STEM technology. In most semiconductor devices, there are often multiple components, and their spatially ordered distribution constitutes nano-devices.
An element map with a high resolution can be obtained in space. Through the use of 3D Atom Probe Tomography (3D APT), the three-dimensional structure of the device can be characterized, and the parameters of the device as well as the size and spatial structure of each component can be understood. As shown in Figure 36, 3D APT volume is based on the standard reconstruction algorithm after density correction of GAA (a) and tri-gate (b) silicon nanowire transistor. An element map with a high resolution can be obtained in space. The interface of different materials is clearly visible, which can effectively help us understand the structural characteristics of these devices.
3D APT method reveals any non-uniform shape of the apex since the signals are distorted due to irregularity of nano-scaled shapes. In the future, we need to develop an advanced sample preparation technology that contributes for a better structure analysis but also better distinguish the corresponding positions of elements, which reduces the impact of material types. The interface states on resolution and avoiding defects leads to failure of the analysis results. APT also needs to combine more equipment to improve test results and analysis algorithms to restore the three-dimensional structure more realistically. This technology is still developing and improving in the semiconductor field [230,231].

15.4. Optical Critical Dimension

Optical critical dimension (OCD) measurement equipment is widely used in process development and process control with its fast, non-destructive, and non-contact testing methods. The principle is to obliquely illuminate the surface of the film with elliptically polarized light in a broadband band as well as to collect the reflection spectrum, and measure the thickness and width parameters of the three-dimensional structure by optical calculation. A spectral database is formed in the early stage and the collected measured spectra were fitted with the theoretical spectra to obtain the final results. The measurements could include the spectroscopic ellipsometry (SE), Mueller matrix (MM) SE, and normal incident polarized reflectometry, or multi-Angle multi-wavelength OCD [232,233].
Optical critical dimension measurement equipment is widely used in process development and process control with its fast, non-destructive, non-contact testing methods. The CD of the 3D device structure and the thickness of each film layer can be measured. However, OCD faces a new challenge as the size of the node becomes smaller. For example, the measurement is complicated for multi-film parameter measurements, 3D measurements, or to obtain a random structure parameter (roughness) in FinFET. In order to increase the sensitivity of the tool to different structural parameters such as CD or sidewall angle, extensive research has been conducted to use Mueller-matrix spectroscopic ellipsometry. In this field, using scatterometry as part of a hybrid metering scheme could help reduce parameter uncertainty [234,235,236].

15.5. Hybrid Metrology

The precision and high-efficiency test equipment has been remarkably improved for next-generations of transistor fabrication. In this field, hybrid metrology improves the metrology performance of complex device structures by combining different test methods. In this way, more functions can be performed and measurement errors are eliminated, which improves the accuracy of test results compared to a single test device.
For FinFET structures, in-line monitoring CD-SEM can measure CD well, but it is not sensitive enough to determine the fin’s height. Optical scattering can be used for FinFET structures, but relying on a large number of data for fitting, it greatly increases uncertainty in the measurement.
The FinFET structure can be measured by the CD-SEM, AFM, or TEM. Then, the results are fed into an OCD tool to validate and to compare the measured data from different processes and to examine to which extent these techniques can detect subtle differences in the process [237]. It may be important to use a hybrid metering method to share information across technologies and to reduce uncertainty to an acceptable level. In the future, we may use atomized measurement equipment. The output can then be combined with in-plant metering such as OCD, AFM, and CDSEM to create a hybrid metering solution. Hybrid metrology and artificial intelligence can be integrated in the measurement and data analysis.

15.6. X-Ray Metrology Technologies

Film metrology is an important issue besides CDs. There are three challenges in the metrology arena: compositional, dopant, and strain.
When the technology node moves toward 5 nm, the traditional metrology techniques maybe touch their limits [45]. FinFET and GAA structures create a need for 3D metrology where X-ray plays a significant role by using the following technologies- XRF (X-ray fluorescence), XPS (X-ray photoelectron spectroscopy), XRR (x-ray reflectivity), XRDI (X-ray diffraction image), XRD (X-Ray Diffraction), HRXRD (high resolution X-Ray Diffraction), LEXES (low energy electron induced X-ray emission spectrometry), CD-SAXS, and GISAXS.
XRF is a photometric technique, which is used to look at surface contamination. The XRF/XPS combo tool is applied to determine the composition and chemistry on the surface. XRR handles thin films and XRDI is used to detect wafer level defects such as slip.
HRXRD, or XRD, is a technique to characterize composition, thickness, dopant concentration and strain in devices [238,239,240,241,242,243]. HRXRD is used to qualify the repeating patterns of FinFETs [244,245,246,247]. The LEXES is involved for dopants in materials.
HRXRD is emphasized as an important tool to characterize 10-nm node and beyond. It has been demonstrated that in-line HRXRD can monitor the pre-fin and post-fin etching processes in FinFET [248]. IMEC demonstrated an in-line HRXRD set-up for analyzing composition and strain for nano-scale level devices. They have successfully studied the composition and strain state of etched and selectively grown Ge/SiGe fins as well as multilayer fin width down to 16 nm. The RSM (reciprocal space mapping) of (113) reflection acquired from fins, which provides information about the lattice parameters in two directions, and calculate fin pitch, according to the spacing between first order grating rods in the figure [247]. It is important to mention that HRXRD is also used to characterize III-V fins in sub-10 nm as well.
Beyond metrology for epitaxy application, the semiconductor industry is also exploring new metrology techniques for future requirement to characterize a three-dimensional structure, where the critical dimensions are less than 10 nm. CD small-angle X-ray scattering (CD-SAXS) is non-destructive and a promising technique to characterize the nano-devices [249,250,251]. This technique collects a series of scattering signals by a small rotation angle of samples. The signals contain both in-plane and out-of-plane information. This technique is used to reconstruct the 3D reciprocal-space for measuring line profiles of short grating, as shown in Figure 37. CD-SAXS provides real potential solutions for replacing the traditional techniques like CD-SEM and OCD and it is applicable to any type of material-crystalline, polycrystalline, and resists.
Intel and the National Institute of Standards and Technology (NIST) have demonstrated CD-SAXS measurements from patterned 12 nm lines device with 0.5 nm spacing [250]. Using CD-SAXS, an accuracy down to 0.1 nm has been reported. CD-SAXS can be used for 7 nm or 5 nm structures for 3D memory, advanced EPI (epitaxy), and FinFETs with no calibration.
Another strategy for measuring critical dimensions is using Grazing-incidence small-angle X-ray scattering (GISAXS) [252]. The measurement geometry of GISAXS is shown in Figure 38 GISAXS can measure small targets, which are sensitive to the grating line profile. The technique shows the ability to extract structural parameters of the gratings depending on scanning the photon energy and the scattering intensity.

15.7. Artificial Intelligence in Metrology

Artificial Intelligence in complex software programs is also involved in metrology recently. Machine learning is an emerging technique, which will not replace metrology tools, but it could help solve the most difficult metrology challenges at 10 nm node technology and beyond. The companies—Nova launched its Artificial Intelligent software NOVAFit™, using machine learning as a complementary method to predict the fin CD values from in-line measurements [253]. By using such a technique, the electrical resistance in interconnects using data from both OCD and electrical tests could be predicted. The new software program improves metrology capabilities and accelerates time to analyze complex 3D devices with a high aspect ratio.

16. Conclusions

This article has presented the principle of miniaturization of MOSFET and a survey of technology roadmap for CMOS. An overview of transistor processing with a focus on the approach to the end has been provided.
The discussions initiated from state-of-art lithography of nano-scale patterns using the extreme ultraviolet (EUV) lithography and 193 nm immersion with multi-patterning. Even though EUV simplifies the patterning process for the 7 node, EUV still has issues with resists and mask infrastructure as well as power source, which have to be solved before high-volume manufacturing.
Furthermore, the challenges of FinFET processing and their relations to electrical characteristics were discussed.
In FinFETs, high current should be transported in fins. Therefore, longer fins are required. However, there is a difficulty for integration of poly-gate, spacer, and the replacement metal gate. For example, to etch the poly-gate with a high aspect ratio, it suffers from charging and micro-loading of etching, which results in variable gate length. It is concluded that an optimum wet and dry etch at low temperature and low etch rate is needed to avoid gate length variation and Si loss in fins.
SiGe has used as stressor material for source/drain regions starting from a 90 nm technology node. In order to have uniform SiGe epitaxy, a uniform chip layout is needed to minimize the pattern dependency of the growth.
In approach to the end of the technology roadmap, FinFETs become lateral or vertical nanowire transistors (LGAA or VGAA, respectively). In VGAA, the nanowire contains SiGe/Si or SiGe/Ge stack where Si, Ge, or SiGe can act as the channel of the transistor. Si or SiGe material could be selectively etched by using TMAH solution mixed by ACT® SG-201.
In the nanowire transistors, traditional ion implantation cannot be used and new doping strategies e.g., monolayer and plasma doping are required to dope the nanowires.
After planar transistors were changed to 3D FinFETs in 22-nm node, HfO2 has been accepted as high-k material due to its high dielectric constant and a relatively large bandgap. However, the integration of HfO2 in nano transistors has a problem of the thermal instability of HfO2/Si interface. The SiOx interlayer between HfO2 and Si substrate can improve the interfacial imperfection. The thickness of this SiOx interlayer and the high-k dielectric have been continuously decreased in each new technology node. Si polycrystalline gate-material was also abandoned and metal-gates like TiAlN and TiN were introduced in a gate-last approach to prevent crystallization of the high-k material during the thermal treatments.
In integrated circuits, ALD W with α-phase is mainly applied as electrode filling. One way to grow tungsten films with α-phase on SiO2 is to use WF6 as a precursor and H using hot-wire (HW) assisted atomic layer deposition (HWALD).
Cu is a classical interconnect material microelectronic circuit. However, as the CD narrows, filling the BEOL trench-over-via structure by Cu becomes more challenging. Introducing Co via the prefill concept makes it possible for void-free and bottom-up fill of metal in advanced interconnects. Co is expected to have a better EM performance compared to Cu due to its higher melting point.
Reliability test over of a processed wafer is very important for IC manufacturers and this becomes more critical when miniaturization of CMOS occurs. The checking points are novel materials, novel process, and novel integration where the reliability characterization provides information about the physical mechanism of degradation.
The random telegraph noise is also an issue that becomes more important for nano-scaled transistors and could act as an indicator for transistor performance. As an example, the self-heating in transistors could be a source for the signal noise.
In the future, when the Beyond Moore era is reached, it is believed new material, e.g., III-V and 2D crystals will be insightful. The main problem is integration of these materials with high quality on Si. So far, III-V devices, e.g., InGaAs-OI FinFET, InGaAs FET, III-V Tunnel FETs (TFETs), and hybrid InGaAs/SiGe CMOS on a silicon substrate by using advanced template-assisted selective epitaxy technology.
Among 2D material Graphene, transition-metal dichalcogenides (TMDCs), e.g., MoS2, WSe2, and WS2 have a strong position for devices. Since the 2D material have a lack of bandgap, then three methods are proposed. The methods include narrow strips, bilayers, and heterojunction in order to create a bandgap.
Many promising devices from 2D materials have been manufactured. As an example, a dual-channel FET based on a vertically stacked hetero-structure of ultrathin n-type MoS2 and p-type WSe2 layers for the study of parallel carrier transport (electrons from MoS2 and holes fromWSe2) have been demonstrated.
More complicated 2D material transistors can be realized by using heterogeneous stacks. For example, MoS2 is used as the active channel material and hexagonal-BN as the top-gate dielectric with graphene S/D. This type of transistors exhibit n-type behavior with an ON/OFF current ratio of >106, and an electron mobility of ∼33 cm2/Vs. The mobility does not degrade at high gate voltages, which presents an important advantage over conventional Si transistors where enhanced surface roughness scattering severely reduces carrier mobility values at high gate-fields.
In the research development in future production, it is necessary to measure the critical dimensions of the device structure, thickness of thin film, surface and interface properties, physical properties, and surface defects. It is expected that the test equipment should have a high-precision, high-speed, and be non-destructive, which can be used to monitor in-line. The famous tools are CD SEM, OCD, and 3D AFM, which can be used for the three-dimensional structure of the device. Recently, a new technique 3D APT, which provides an element map with a high resolution has been developed. In this way, the three-dimensional structure of the device can be characterized.
It is also required to use a combination of these techniques, which could provide information about more complex device structures.
A series of x-ray techniques, e.g., XRF, XPS, XRR, XRDI, XRD, HRXRD, LEXES, CD-SAXS, and GISAXS are also used for in-line measurements. These techniques provide information about crystalline materials for composition, thickness, dopant concentration, and strain in devices.
Recently, Artificial Intelligent in a new software form is also involved in metrology, which can help solve the most difficult metrology challenges at 10-nm node technology and beyond. The new software improves metrology capabilities and accelerates time to solution in complex 3D and High Aspect Ratio devices.

Author Contributions

H.H.R. and G.W. conceived and designed the manuscript; X.H. contributed the “Lithography of Nano-Scaled Transistors”; Q.Z. wrote the “Process Integration of New Transistor Architecture”; G.W. wrote the “SiGe Epitaxy of Nano-Scaled Transistors”; J.L. (Jinbiao Liu) wrote the “Monolayer Doping” and “Plasma Doping”; J.X. wrote “High-k& Metal Gate (HKMG)”; Z.K. wrote the “Interconnections in CMOS”; W.X. wrote” Stressors SiNx Contact Etch Stop Layer (CESL) Technology”; H.C. and J.L. (Junjie Li) wrote the “Etching Evolution”; J.G. wrote “BEOL for Nano-Scale Transistors”; H.Y. wrote the “Reliability”; H.H.R., X.Z., Y.D. and S.G. wrote the “Channel Materials for Beyond Moore Era”; S.G. and J.Y. wrote the “Advanced Characterization for Ultra-Miniaturized CMOS”. The authors have equally contributed in this article.

Acknowledgments

The National Key Research and Development Program of China under Grant No. 2016YFA0301701 and the Youth Innovation Promotion Association of the Chinese Academy of Sciences under Grant No. 2016112 supported this work.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Moore, G.E. Cramming More Components onto Integrated Circuits. Electronics 1965, 38, 114. [Google Scholar] [CrossRef]
  2. Colinge, J.-P. FinFETs and other Multi-Gate Transistors; Springer: New York, NY, USA, 2008. [Google Scholar]
  3. Natarajan, S.; Agostinelli, M.; Akbar, S.; Bost, M.; Bowonder, A.; Chikarmane, V.; Chouksey, S.; Dasgupta, A.; Fischer, K.; Fu, Q.; et al. A 14 nm logic technology featuring 2nd-generation FinFET, air-gapped interconnects, self-aligned double patterning and a 0.0588 µm2 SRAM cell size. In Proceedings of the 2014 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2014; pp. 3–7. [Google Scholar]
  4. Li, C.C.; Tsai, T.H.; Yuan, M.S.; Liao, C.C.; Chang, C.H.; Huang, T.C.; Liao, H.Y.; Lu, C.T.; Kuo, H.Y.; Hsieh, K.; et al. A 0.034 mm2, 725 fs RMS jitter, 1.8%/V frequency-pushing, 10.8–19.3 GHz transformer-based fractional-N all-digital PLL in 10 nm FinFET CMOS. In Proceedings of the 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits), Honolulu, HI, USA, 15–17 June 2016; pp. 1–2. [Google Scholar]
  5. Xu, M.; Zhu, H.L.; Zhao, L.C.; Yin, H.X.; Zhong, J.; Li, J.F.; Zhao, C.; Chen, D.P.; Ye, T.C. Improved Short Channel Effect Control in Bulk FinFETs with Vertical Implantation to Form Self-Aligned Halo and Punch-Through Stop Pocket. IEEE Electron Device Lett. 2015, 36, 648–650. [Google Scholar] [CrossRef]
  6. Yang, L.; Zhang, Q.Z.; Huang, Y.B.; Zheng, Z.S.; Li, B.; Li, B.H.; Zhang, X.Y.; Zhu, H.P.; Yin, H.X.; Guo, Q.; et al. Total Ionizing Dose Response and Annealing Behavior of Bulk nFinFETs with ON-State Bias Irradiation. IEEE Trans. Nucl. Sci. 2018, 65, 1503–1510. [Google Scholar] [CrossRef]
  7. Yakimets, D.; Bardon, M.; Garcia Jang, D.; Schuddinck, P.; Sherazi, Y.; Weckx, P.; Miyaguchi, K.; Parvais, B.; Raghavan, P.; Spessot, A.; Verkest, D.; Mocuta, A. Power aware FinFET and lateral nanosheet FET targeting for 3 nm CMOS technology. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 20.4.1–20.4.4. [Google Scholar]
  8. Cheng, K.; Seo, S.; Faltermeier, J.; Lu, D.; Standaert, T.; Ok, I.; Khakifirooz, A.; Vega, R.; Levin, T.; Li, J.; et al. Bottom oxidation through STI (BOTS)—A novel approach to fabricate dielectric isolated FinFETs on bulk substrates. In Proceedings of the 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers, Honolulu, HI, USA, 9–12 June 2014; pp. 1–2. [Google Scholar]
  9. Zhang, Q.; Yin, H.; Luo, J.; Yang, H.; Meng, L.; Li, Y.; Wu, Z.; Zhang, Y.; Zhang, Y.; Qin, C.; et al. FOI FinFET with ultra-low parasitic resistance enabled by fully metallic source and drain formation on isolated bulk-fin. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 17.13.11–17.13.14. [Google Scholar]
  10. Ma, X.L.; Yin, H.X.; Hong, P.Z.; Xu, W.J. Self-Aligned Fin-On-Oxide (FOO) FinFETs for Improved SCE Immunity and Multi-V-TH Operation on Si Substrate. ECS Solid State Lett. 2015, 4, Q13–Q16. [Google Scholar] [CrossRef]
  11. Hou, Z.Z.; Zhang, Q.Z.; Yin, H.X.; Xiang, J.J.; Qin, C.L.; Yao, J.X.; Gu, J. Fabrication and Characterization of p-Channel Charge Trapping Type FOI-FinFET Memory with MAHAS Structure. ECS J. Solid State Sci. Technol. 2017, 6, Q136–Q142. [Google Scholar] [CrossRef] [Green Version]
  12. Xu, W.J.; Yin, H.X.; Ma, X.L.; Hong, P.Z.; Xu, M.; Meng, L.K. Novel 14-nm Scallop-Shaped FinFETs (S-FinFETs) on Bulk-Si Substrate. Nanoscale Res. Lett. 2015, 10, 249. [Google Scholar] [CrossRef] [Green Version]
  13. Mertens, H.; Ritzenthaler, R.; Hikavyy, A.; Kim, M.S.; Tao, Z.; Wostyn, K.; Chew, S.A.; Keersgieter, A.D.; Mannaert, G.; Rosseel, E.; et al. Gate-all-around MOSFETs based on vertically stacked horizontal Si nanowires in a replacement metal gate process on bulk Si substrates. In Proceedings of the 2016 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 14–16 June 2016; pp. 1–2. [Google Scholar]
  14. Lauer, I.; Loubet, N.; Kim, S.D.; Ott, J.A.; Mignot, S.; Venigalla, R.; Yamashita, T.; Standaert, T.; Faltermeier, J.; Basker, V.; et al. Si nanowire CMOS fabricated with minimal deviation from RMG FinFET technology showing record performance. In Proceedings of the 2015 Symposium on VLSI Technology, Kyoto, Japan, 16–18 June 2015; pp. T140–T141. [Google Scholar]
  15. Zhang, Q.Z.; Yin, H.X.; Meng, L.K.; Yao, J.X.; Li, J.J.; Wang, G.L.; Li, Y.D.; Wu, Z.H.; Xiong, W.J.; Yang, H.; et al. Novel GAA Si Nanowire p-MOSFETs With Excellent Short-Channel Effect Immunity via an Advanced Forming Process. IEEE Electron Device Lett. 2018, 39, 464–467. [Google Scholar] [CrossRef]
  16. Tu, H.; Zhao, H.; Wei, F.; Zhang, Q.; Du, J. Research Progress in Two-Dimensional Atomic Crystal Materials and Van der Waals Heterostructures. Chin. J. Rare Met. 2017, 41, 449–465. [Google Scholar]
  17. Pan, Y.; Jia, K.P.; Huang, K.L.; Wu, Z.H.; Bai, G.B.; Yu, J.H.; Zhang, Z.H.; Zhang, Q.Z.; Yin, H.X. Near-ideal subthreshold swing MoS2 back-gate transistors with an optimized ultrathin HfO2 dielectric layer. Nanotechnology 2019, 30, 095202. [Google Scholar] [CrossRef]
  18. Brunet, L.; Fenouillet-Beranger, C.; Batude, P.; Beaurepaire, S.; Ponthenier, F.; Rambal, N.; Mazzocchi, V.; Pin, J.; Acosta-Alba, P.; Kerdiles, S.; et al. Breakthroughs in 3D Sequential technology. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 7.2.1–7.2.4. [Google Scholar]
  19. Chiarella, T.; Witters, L.; Mercha, A.; Kerner, C.; Dittrich, R.; Rakowski, M.; Ortolland, C.; Ragnarsson, L.A.; Parvais, B.; De Keersgieter, A.; et al. Migrating from planar to FinFET for further CMOS scaling: SOI or bulk? In Proceedings of the 2009 Proceedings of the European Solid State Device Research Conference (ESSCIRC’09), Athens, Greece, 14–18 September 2009; pp. 84–87. [Google Scholar]
  20. Bae, G.; Bae, D.I.; Kang, M.; Hwang, S.M.; Kim, S.S.; Seo, B.; Kwon, T.Y.; Lee, T.J.; Moon, C.; Choi, Y.M.; et al. 3 nm GAA Technology featuring Multi-Bridge-Channel FET for Low Power and High Performance Applications. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 28.7.1–28.7.4. [Google Scholar]
  21. Sackinger, E.; Guggenbuhl, W. A high-swing, high-impedance MOS cascode circuit. IEEE J. Solid-State Circuits 1990, 25, 289–298. [Google Scholar] [CrossRef] [Green Version]
  22. Radamson, H.; Thylen, L. Monolithic Nanoscale Photonics-Electronics Integration in Silicon and other Group IV Elements; Elsevier Science & Technology: San Diego, CA, USA, 2014. [Google Scholar]
  23. Frank, D.J.; Dennard, R.H.; Nowak, E.; Solomon, P.M.; Taur, Y.; Wong, H.S.P. Device scaling limits of Si MOSFETs and their application dependencies. Proc. IEEE 2001, 89, 259–288. [Google Scholar] [CrossRef]
  24. Yaegashi, H. Pattern fidelity control in Multi-patterning towards 7 nm node. In Proceedings of the 2016 IEEE 16th International Conference on Nanotechnology (IEEE-NANO), Sendai, Japan, 22–25 August 2016; pp. 452–455. [Google Scholar]
  25. Jiang, J.; Chakrabarty, S.; Yu, M.F.; Ober, C.K. Metal Oxide Nanoparticle Photoresists for EUV Patterning. J. Photopolym Sci. Technol. 2014, 27, 663–666. [Google Scholar] [CrossRef] [Green Version]
  26. Mulkens, J.; Hanna, M.; Wei, H.; Vaenkatesan, V.; Megens, H.; Slotboom, D. Overlay and Edge Placement Control Strategies for the 7-nm node using EUV and ArF lithography. In Extreme Ultraviolet (EUV) Lithography VI; Wood, O.R., Panning, E.M., Eds.; SPIE: San Jose, CA, USA, 2016; Volume 9422. [Google Scholar]
  27. Kerkhof, M.V.D.; Jasper, H.; Levasier, L.; Peeters, R.; van Es, R.; Bosker, J.W.; Zdravkov, A.; Lenderink, E.; Evangelista, F.; Broman, P.; et al. Enabling sub-10nm node lithography: Presenting the NXE:3400B EUV scanner. Extreme Ultraviolet. Proc. SPIE 2017, 10143. [Google Scholar] [CrossRef]
  28. Nagahara, S.; Carcasi, M.; Shiraishi, G.; Nakagawa, H.; Dei, S.; Shiozawa, T.; Nafus, K.; De Simone, D.; Vandenberghe, G.; Stock, H.J.; Küchler, B. Photosensitized Chemically Amplified Resist (PSCAR) 2.0 for high-throughput and high-resolution EUV lithography: Dual photosensitization of acid generation and quencher decomposition by flood exposure. Proc. SPIE 2017, 10146. [Google Scholar] [CrossRef]
  29. Capelli, R.; Hellweg, D.; Dietzel, M.; Koch, M.; Wolke, C.; Kersteen, G. Aerial image based metrology of EUV masks: Recent achievements, status and outlook for the AIMS (TM) EUV platform. In Extreme Ultraviolet (EUV) Lithography IX; Goldberg, K.A., Ed.; SPIE: San Jose, CA, USA, 2018; Volume 10583. [Google Scholar]
  30. Wojdyla, A.; Benk, M.P.; Naulleau, P.P.; Goldberg, K.A. EUV photolithography mask inspection using Fourier ptychography. In Image Sensing Technologies: Materials, Devices, Systems, and Applications V; Dhar, N.K., Dutta, A.K., Eds.; SPIE: Orlando, FL, USA, 2018; Volume 10656. [Google Scholar]
  31. Turkot, B.; Carson, S.L.; Lio, A.N.; Liang, T.; Phillips, M.; McCool, B.; Stenehjem, E.; Crimmins, T.; Zhang, G.J.; Sivakumar, S. EUV Progress Toward HVM Readiness. In Extreme Ultraviolet (EUV) Lithography VII; Panning, E.M., Goldberg, K.A., Eds.; SPIE: San Jose, CA, USA, 2016; Volume 9776. [Google Scholar]
  32. Levinson, H.J.; Brunner, T.A. Current Challenges and Opportunities for EUV Lithography. In International Conference on Extreme Ultraviolet Lithography 2018; Ronse, K.G., Hendrickx, E., Naulleau, P.P., Gargini, P.A., Itani, T., Eds.; SPIE: Monterey, CA, USA, 2018; Volume 10809. [Google Scholar]
  33. Li, L.; Liu, X.; Pal, S.; Wang, S.L.; Ober, C.K.; Giannelis, E.P. Extreme ultraviolet resist materials for sub-7 nm patterning. Chem. Soc. Rev. 2017, 46, 4855–4866. [Google Scholar] [CrossRef] [PubMed]
  34. Jan, C.; Bhattacharya, U.; Brain, R.; Choi, S.; Curello, G.; Gupta, G.; Hafez, W.; Jang, M.; Kang, M.; Komeyli, K.; et al. A 22 nm SoC platform technology featuring 3-D tri-gate and high-k/metal gate, optimized for ultra low power, high performance and high density SoC applications. In Proceedings of the 2012 International Electron Devices Meeting, San Francisco, CA, USA, 10–13 December 2012; pp. 3.1.1–3.1.4. [Google Scholar]
  35. Jan, C.; Agostinelli, M.; Buehler, M.; Chen, Z.; Choi, S.; Curello, G.; Deshpande, H.; Gannavaram, S.; Hafez, W.; Jalan, U.; et al. A 32 nm SoC platform technology with 2nd generation high-k/metal gate transistors optimized for ultra low power, high performance, and high density product applications. In Proceedings of the 2009 IEEE International Electron Devices Meeting (IEDM), Baltimore, MD, USA, 7–9 December 2009; pp. 1–4. [Google Scholar]
  36. Radamson, H.H.; Zhang, Y.B.; He, X.B.; Cui, H.S.; Li, J.J.; Xiang, J.J.; Liu, J.B.; Gu, S.H.; Wang, G.L. The Challenges of Advanced CMOS Process from 2D to 3D. Appl. Sci. 2017, 7, 1047. [Google Scholar] [CrossRef]
  37. International Roadmap for Devices and Systems (IRDS™) 2017 Edition. Available online: https://irds.ieee.org/roadmap-2017 (accessed on 4 April 2019).
  38. Wu, H.; Gluschenkov, O.; Tsutsui, G.; Niu, C.; Brew, K.; Durfee, C.; Prindle, C.; Kamineni, V.; Mochizuki, S.; Lavoie, C.; et al. Parasitic Resistance Reduction Strategies for Advanced CMOS FinFETs Beyond 7 nm. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 35.4.1–35.4.4. [Google Scholar]
  39. Kise, N.; Kinoshita, H.; Yukimachi, A.; Kanazawa, T.; Miyamoto, Y. Fin width dependence on gate controllability of InGaAs channel FinFETs with regrown source/drain. Solid-State Electron. 2016, 126, 92–95. [Google Scholar] [CrossRef]
  40. Matsukawa, T.; Liu, Y.; Endo, K.; uchi, S.O.; Masahara, M. Variability origins of FinFETs and perspective beyond 20 nm node. In Proceedings of the IEEE 2011 International SOI Conference, Tempe, AZ, USA, 3–6 October 2011; pp. 1–28. [Google Scholar]
  41. Kavalieros, J.; Doyle, B.; Datta, S.; Dewey, G.; Doczy, M.; Jin, B.; Lionberger, D.; Metz, M.; Rachmady, W.; Radosavljevic, M.; et al. Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering. In Proceedings of the Digest of Technical Papers—Symposium on VLSI Technology, Honolulu, HI, USA, 13–15 June 2006; pp. 50–51. [Google Scholar]
  42. Jan, C.; Al-amoody, F.; Chang, H.; Chang, T.; Chen, Y.; Dias, N.; Hafez, W.; Ingerly, D.; Jang, M.; Karl, E.; et al. A 14 nm SoC platform technology featuring 2nd generation Tri-Gate transistors, 70 nm gate pitch, 52 nm metal pitch, and 0.0499 um2 SRAM cells, optimized for low power, high performance and high density SoC products. In Proceedings of the 2015 Symposium on VLSI Circuits (VLSI Circuits), Kyoto, Japan, 17–19 June 2015; pp. T12–T13. [Google Scholar]
  43. Jacob, A.P.; Xie, R.; Sung, M.G.; Liebmann, L.; Lee, R.T.P.; Taylor, B. Scaling Challenges for Advanced CMOS Devices. Int. J. High Speed Electron. Syst. 2017, 26, 2–76. [Google Scholar] [CrossRef]
  44. Veloso, A.; De Keersgieter, A.; Matagne, P.; Horiguchi, N.; Collaert, N. Advances on doping strategies for triple-gate finFETs and lateral gate-all-around nanowire FETs and their impact on device performance. Mater. Sci. Semicond. Process. 2017, 62, 2–12. [Google Scholar] [CrossRef]
  45. Current, M.I. Ion implantation of advanced silicon devices: Past, present and future. Mater. Sci. Semicond. Process. 2017, 62, 13–22. [Google Scholar] [CrossRef]
  46. Ghani, T.; Armstrong, M.; Auth, C.; Bost, M.; Charvat, P.; Glass, G.; Hoffmann, T.; Johnson, K.; Kenyon, C.; Klaus, J.; et al. A 90 nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors. In Proceedings of the IEEE International Electron Devices Meeting 2003, Washington, DC, USA, 8–10 December 2003; pp. 11.16.11–11.16.13. [Google Scholar]
  47. Bai, P.; Auth, C.; Balakrishnan, S.; Bost, M.; Brain, R.; Chikarmane, V.; Heussner, R.; Hussein, M.; Hwang, J.; Ingerly, D.; et al. A 65 nm logic technology featuring 35 nm gate lengths, enhanced channel strain, 8 Cu interconnect layers, low-k ILD and 0.57 μm2 SRAM cell. In Proceedings of the IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004, San Francisco, CA, USA, 13–15 December 2004; pp. 657–660. [Google Scholar] [CrossRef]
  48. Jan, C.H.; Bai, P.; Biswas, S.; Buehler, M.; Chen, Z.P.; Curello, G.; Gannavaram, S.; Hafez, W.; He, J.; Hicks, J.; et al. A 45 nm low power system-on-chip technology with dual gate (logic and I/O) high-k/metal gate strained silicon transistors. In Proceedings of the 2008 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2008; pp. 1–4. [Google Scholar] [CrossRef]
  49. Natarajan, S.; Armstrong, M.; Bost, M.; Brain, R.; Brazier, M.; Chang, C.-H.; Chikarmane, V.; Childs, M.; Deshpande, H.; Dev, K.; et al. A 32 nm logic technology featuring 2nd-generation high-k+ metal-gate transistors, enhanced channel strain and 0.171 μm2 SRAM cell size in a 291 Mb array. In Proceedings of the 2008 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2008; pp. 1–3. [Google Scholar] [CrossRef]
  50. Thompson, S.; Sun, G.; Wu, K.; Lim, J.; Nishida, T. Key differences for process-induced uniaxial vs. substrate-induced biaxial stressed Si and Ge channel MOSFETs. In Proceedings of the Electron Devices Meeting, 2004, IEDM Technical Digest, San Francisco, CA, USA, 13–15 December 2004; pp. 221–224. [Google Scholar]
  51. Ohta, H.; Kim, Y.; Shimamune, Y.; Sakuma, T.; Hatada, A.; Katakami, A.; Soeda, T.; Kawamura, K.; Kokura, H.; Morioka, H.; et al. High performance 30 nm gate bulk CMOS for 45 nm node with/spl Sigma/-shaped SiGe-SD. In Proceedings of the IEEE International Electron Devices Meeting, 2005, IEDM Technical Digest, Washington, DC, USA, 5 December 2005; pp. 247–250. [Google Scholar]
  52. Tamura, N.; Shimamune, Y. 45 nm CMOS technology with low temperature selective epitaxy of SiGe. Appl. Surf. Sci. 2008, 254, 6067–6071. [Google Scholar] [CrossRef]
  53. Qin, C.L.; Yin, H.X.; Wang, G.L.; Hong, P.Z.; Ma, X.L.; Cui, H.S.; Lu, Y.H.; Meng, L.K.; Yin, H.Z.; Zhong, H.C.; et al. Study of sigma-shaped source/drain recesses for embedded-SiGe pMOSFETs. Microelectron. Eng. 2017, 181, 22–28. [Google Scholar] [CrossRef]
  54. Vescan, L.; Grimm, K.; Dieker, C. Facet investigation in selective epitaxial growth of Si and SiGe on (001) Si for optoelectronic devices. J. Vac. Sci. Technol. B 1998, 16, 1549–1554. [Google Scholar] [CrossRef]
  55. Dutartre, D.; Talbot, A. Facet propagation in Si and SiGe epitaxy or etching. ECS Trans. 2006, 3, 473–487. [Google Scholar] [CrossRef]
  56. Mujumdar, S.; Maitra, K.; Datta, S. Layout-Dependent Strain Optimization for p-Channel Trigate Transistors. IEEE Trans. Electron Devices 2012, 59, 72–78. [Google Scholar] [CrossRef]
  57. Hallstedt, J.; Kolahdouz, M.; Ghandi, R.; Radamson, H.H. Pattern dependency in selective epitaxy of B-doped SiGe layers for advanced metal oxide semiconductor field effect transistors. J. Appl. Phys. 2008, 103, 054907. [Google Scholar] [CrossRef]
  58. Radamson, H.H.; Kolahdouz, M. Selective epitaxy growth of Si1-x Gex layers for MOSFETs and FinFET. J. Mater. Sci. Mater. Electron. 2015, 26, 4584–4603. [Google Scholar] [CrossRef]
  59. Wang, G.L.; Moeen, M.; Abedin, A.; Xu, Y.F.; Luo, J.; Guo, Y.L.; Qin, C.L.; Tang, Z.Y.; Yin, H.Z.; Li, J.F.; et al. Impact of pattern dependency of SiGe layers grown selectively in source/drain on the performance of 22 nm node pMOSFETs. Solid-State Electron. 2015, 114, 43–48. [Google Scholar] [CrossRef]
  60. Qin, C.L.; Wang, G.L.; Kolahdouz, M.; Luo, J.; Yin, H.X.; Yang, P.; Li, J.F.; Zhu, H.L.; Chao, Z.; Ye, T.C.; et al. Impact of pattern dependency of SiGe layers grown selectively in source/drain on the performance of 14 nm node FinFETs. Solid-State Electron. 2016, 124, 10–15. [Google Scholar] [CrossRef]
  61. Wang, G.; Luo, J.; Qin, C.; Cui, H.; Liu, J.; Jia, K.; Li, J.; Yang, T.; Li, J.; Yin, H.; et al. Integration of Selective Epitaxial Growth of SiGe/Ge Layers in 14nm Node FinFETs. ECS Trans. 2016, 75, 273–279. [Google Scholar] [CrossRef]
  62. Loo, R.; Hikavyy, A.Y.; Witters, L.; Schulze, A.; Arimura, H.; Cott, D.; Mitard, J.; Porret, C.; Mertens, H.; Ryan, P.; et al. Processing Technologies for Advanced Ge Devices. ECS J. Solid State Sci. Technol. 2016, 6, P14–P20. [Google Scholar] [CrossRef] [Green Version]
  63. Radamson, H.H.; Luo, J.; Simeon, E.; Chao, Z. Past, Present and Future of CMOS; Elsevier: Duxford, UK, 2018. [Google Scholar]
  64. Wang, G.L.; Abedin, A.; Moeen, M.; Kolandouz, M.; Luo, J.; Guo, Y.L.; Chen, T.; Yin, H.X.; Zhu, H.L.; Li, J.F.; et al. Integration of highly-strained SiGe materials in 14 nm and beyond nodes FinFET technology. Solid-State Electron. 2015, 103, 222–228. [Google Scholar] [CrossRef]
  65. Wan, G.X.; Wang, G.L.; Zhu, H.L. Hetero-Epitaxy and Self-Adaptive Stressor Based on Freestanding Fin for the 10 nm Node and Beyond. Chin. Phys. Lett. 2017, 34, 4. [Google Scholar] [CrossRef]
  66. Wang, G.L.; Luo, J.; Qin, C.L.; Liang, R.R.; Xu, Y.F.; Liu, J.B.; Li, J.F.; Yin, H.X.; Yan, J.; Zhu, H.L.; et al. Integration of Highly Strained SiGe in Source and Drain with HK and MG for 22 nm Bulk PMOS Transistors. Nanoscale Res. Lett. 2017, 12, 078502. [Google Scholar] [CrossRef]
  67. Han, K.; Tang, S.; Rockwell, T.; Godet, L.; Persing, H.; Campbell, C.; Salimian, S. A novel plasma-based technique for conformal 3D FINFET doping. In Proceedings of the 2012 12th International Workshop on Junction Technology, Shanghai, China, 14–15 May 2012; pp. 35–37. [Google Scholar]
  68. Felch, S.; Hobbs, C.; Barnett, J.; Etienne, H.; Duchaine, J.; Rodgers, M.; Bennett, S.; Torregrosa, F.; Spiegel, Y.; Roux, L. Plasma doping of silicon fin structures. In Proceedings of the 11th International Workshop on Junction Technology (IWJT), Kyoto, Japan, 9–10 June 2011; pp. 22–25. [Google Scholar]
  69. Linford, M.R.; Chidsey, C.E.D. Alkyl monolayers covalently bonded to silicon surfaces. J. Am. Chem. Soc. 1993, 115, 12631–12632. [Google Scholar] [CrossRef]
  70. Ye, L.; Pujari, S.P.; Zuilhof, H.; Kudernac, T.; de Jong, M.P.; van der Wiel, W.G.; Huskens, J. Controlling the Dopant Dose in Silicon by Mixed-Monolayer Doping. ACS Appl. Mater. Interfaces 2015, 7, 3231–3236. [Google Scholar] [CrossRef]
  71. Sieval, A.B.; Vleeming, V.; Zuilhof, H.; Sudholter, E.J.R. An improved method for the preparation of organic monolayers of 1-alkenes on hydrogen-terminated silicon surfaces. Langmuir 1999, 15, 8288–8291. [Google Scholar] [CrossRef]
  72. Ford, A.C.; Ho, J.C.; Chueh, Y.; Javey, A. Monolayer doping and diameter-dependent electron mobility assessment of nanowires. In Proceedings of the 2009 IEEE International Conference on IC Design and Technology, Austin, TX, USA, 18–20 May 2009; pp. 223–227. [Google Scholar]
  73. Ok, I.; Ang, K.; Hobbs, C.; Baek, R.H.; Kang, C.Y.; Snow, J.; Nunan, P.; Nadahara, S.; Kirsch, P.D.; Jammy, R. Conformal, low-damage shallow junction technology (Xj~5 nm) with optimized contacts for FinFETs as a Solution Beyond 14 nm Node. In Proceedings of the 2012 12th International Workshop on Junction Technology, Shanghai, China, 14–15 May 2012; pp. 29–34. [Google Scholar]
  74. Ho, J.C.; Yerushalmi, R.; Jacobson, Z.A.; Fan, Z.; Alley, R.L.; Javey, A. Controlled nanoscale doping of semiconductors via molecular monolayers. Nat. Mater. 2008, 7, 62–67. [Google Scholar] [CrossRef]
  75. Long, B.; Verni, G.A.; Connell, J.O.; Holmes, J.; Shayesteh, M.; Connell, D.O.; Duffy, R. Molecular Layer Doping: Non-destructive doping of silicon and germanium. In Proceedings of the 2014 20th International Conference on Ion Implantation Technology (IIT), Portland, OR, USA, 26 June–4 July 2014; pp. 1–4. [Google Scholar]
  76. Kim, Y.S.; Kown, H. Ultra-shallow junction formation on 3D silicon and germanium device structures by ion energy decoupled plasma doping. In Proceedings of the 2017 17th International Workshop on Junction Technology (IWJT), Uji, Japan, 1–2 June 2017; pp. 62–65. [Google Scholar]
  77. Takeuchi, H.; King, T.J. Scaling limits of hafnium-silicate films for gate-dielectric applications. Appl. Phys. Lett. 2003, 83, 788–790. [Google Scholar] [CrossRef]
  78. Seong, N.J.; Yoon, S.G.; Yeom, S.J.; Woo, H.K.; Kil, D.S.; Roh, J.S.; Sohn, H.C. Effect of nitrogen incorporation on improvement of leakage properties in high-k HfO2 capacitors treated by N-2-plasma. Appl. Phys. Lett. 2005, 87. [Google Scholar] [CrossRef]
  79. Zhao, C.; Witters, T.; Brijs, B.; Bender, H.; Richard, O.; Caymax, M.; Heeg, T.; Schubert, J.; Afanas’ev, V.V.; Stesmans, A.; et al. Ternary rare-earth metal oxide high-k layers on silicon oxide. Appl. Phys. Lett. 2005, 86. [Google Scholar] [CrossRef]
  80. Barlage, D.; Arghavani, R.; Dewey, G.; Doczy, M.; Doyle, B.; Kavalieros, J.; Murthy, A.; Roberds, B.; Stokley, P.; Chau, R. High-frequency response of 100 nm integrated CMOS transistors with high-K gate dielectrics. In Proceedings of the International Electron Devices Meeting. Technical Digest, Washington, DC, USA, 2–5 December 2001; pp. 10.16.11–10.16.14. [Google Scholar]
  81. Packan, P.; Akbar, S.; Armstrong, M.; Bergstrom, D.; Brazier, M.; Deshpande, H.; Dev, K.; Ding, G.; Ghani, T.; Golonzka, O.; et al. High performance 32 nm logic technology featuring 2nd generation high-k + metal gate transistors. In Proceedings of the 2009 IEEE International Electron Devices Meeting (IEDM), Baltimore, MD, USA, 7–9 December 2009; pp. 1–4. [Google Scholar]
  82. Triyoso, D.H.; Gregory, R.; Schaeffer, J.K.; Werho, D.; Li, D.; Marcus, S.; Wilk, G.D. Atomic layer deposited TaC(y) metal gates: Impact on microstructure, electrical properties, and work function on HfO(2) high-k dielectrics. J. Appl. Phys. 2007, 102, 104509. [Google Scholar] [CrossRef]
  83. Cho, G.H.; Rhee, S.W. Plasma-Enhanced Atomic Layer Deposition of TaCxNy Films with tert-Butylimido Tris-diethylamido Tantalum and Methane/Hydrogen Gas. Electrochem. Solid State Lett. 2010, 13, H426–H427. [Google Scholar] [CrossRef]
  84. Kim, C.K.; Ahn, H.J.; Moon, J.M.; Lee, S.; Moon, D.I.; Park, J.S.; Cho, B.J.; Choi, Y.K.; Lee, S.H. Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition. Solid-State Electron. 2015, 114, 90–93. [Google Scholar] [CrossRef]
  85. Zonensain, O.; Fadida, S.; Fisher, I.; Gao, J.W.; Chattopadhyay, K.; Harm, G.; Mountsier, T.; Danek, M.; Eizenberg, M. Work function tuning of plasma-enhanced atomic layer deposited WCxNy electrodes for metal/oxide/semiconductor devices. Appl. Phys. Lett. 2015, 106, 082107. [Google Scholar] [CrossRef]
  86. Ahn, H.J.; Moon, J.; Koh, S.; Seo, Y.; Kim, C.; Rho, I.C.; Kim, C.H.; Hwang, W.S.; Cho, B.J. Very Low-Work-Function ALD-Erbium Carbide (ErC2) Metal Electrode on High-K Dielectrics. IEEE Trans. Electron Devices 2016, 63, 2858–2863. [Google Scholar] [CrossRef]
  87. Xiang, J.J.; Zhang, Y.B.; Li, T.T.; Wang, X.L.; Gao, J.F.; Yin, H.X.; Li, J.F.; Wang, W.W.; Ding, Y.Q.; Xu, C.Y.; et al. Investigation of thermal atomic layer deposited TiAlX (X = N or C) film as metal gate. Solid-State Electron. 2016, 122, 64–69. [Google Scholar] [CrossRef]
  88. Xiang, J.J.; Li, T.T.; Zhang, Y.B.; Wang, X.L.; Gao, J.F.; Cui, H.S.; Yin, H.X.; Li, J.F.; Wang, W.W.; Ding, Y.Q.; et al. Investigation of TiAlC by Atomic Layer Deposition as N Type Work Function Metal for FinFET. ECS J. Solid State Sci. Technol. 2015, 4, P441–P444. [Google Scholar] [CrossRef]
  89. Xiang, J.J.; Ding, Y.Q.; Du, L.Y.; Xu, C.Y.; Li, T.T.; Wang, X.L.; Li, J.F.; Zhao, C. Investigation of N Type Metal TiAlC by Thermal Atomic Layer Deposition Using TiCl4 and TEA as Precursors. ECS J. Solid State Sci. Technol. 2016, 5, P299–P303. [Google Scholar] [CrossRef]
  90. Xiang, J.J.; Li, T.T.; Wang, X.L.; Du, L.Y.; Ding, Y.Q.; Wang, W.W.; Li, J.F.; Zhao, C. Thermal Atomic Layer Deposition of TaAlC with TaCl5 and TMA as Precursors. ECS J. Solid State Sci. Technol. 2016, 5, P633–P636. [Google Scholar] [CrossRef]
  91. Xiang, J.J.; Wang, X.L.; Li, T.T.; Gao, J.F.; Han, K.; Yu, J.H.; Wang, W.W.; Li, J.F.; Zhao, C. Investigation of Thermal Atomic Layer Deposited TaAlC with Low Effective Work-Function on HfO2 Dielectric Using TaCl5 and TEA as Precursors. ECS J. Solid State Sci. Technol. 2017, 6, P38–P41. [Google Scholar] [CrossRef]
  92. Khan, A.I.; Chatterjee, K.; Wang, B.; Drapcho, S.; You, L.; Serrao, C.; Bakaul, S.R.; Ramesh, R.; Salahuddin, S. Negative capacitance in a ferroelectric capacitor. Nat. Mater. 2015, 14, 182–186. [Google Scholar] [CrossRef] [PubMed]
  93. Wang, G.L.; Xu, Q.; Yang, T.; Xiang, J.J.; Xu, J.; Gao, J.F.; Li, C.L.; Li, J.F.; Yan, J.; Chen, D.P.; et al. Application of Atomic Layer Deposition Tungsten (ALD W) as Gate Filling Metal for 22 nm and Beyond Nodes CMOS Technology. ECS J. Solid State Sci. Technol. 2014, 3, P82–P85. [Google Scholar] [CrossRef]
  94. Lemaire, P.C.; King, M.; Parsons, G.N. Understanding inherent substrate selectivity during atomic layer deposition: Effect of surface preparation, hydroxyl density, and metal oxide composition on nucleation mechanisms during tungsten ALD. J. Chem. Phys. 2017, 146, 052811. [Google Scholar] [CrossRef] [PubMed]
  95. Kalanyan, B.; Lemaire, P.C.; Atanasov, S.E.; Ritz, M.J.; Parsons, G.N. Using Hydrogen to Expand the Inherent Substrate Selectivity Window During Tungsten Atomic Layer Deposition. Chem. Mater. 2016, 28, 117–126. [Google Scholar] [CrossRef]
  96. Pai, C.F.; Liu, L.Q.; Li, Y.; Tseng, H.W.; Ralph, D.C.; Buhrman, R.A. Spin transfer torque devices utilizing the giant spin Hall effect of tungsten. Appl. Phys. Lett. 2012, 101, 122404. [Google Scholar] [CrossRef] [Green Version]
  97. Neumann, L.; Meier, D.; Schmalhorst, J.; Rott, K.; Reiss, G.; Meinert, M. Temperature dependence of the spin Hall angle and switching current in the nc-W(O)/CoFeB/MgO system with perpendicular magnetic anisotropy. Appl. Phys. Lett. 2016, 109, 142405. [Google Scholar] [CrossRef] [Green Version]
  98. Wang, G.L.; Luo, J.; Liu, J.B.; Yang, T.; Xu, Y.F.; Li, J.F.; Yin, H.X.; Yan, J.; Zhu, H.L.; Zhao, C.; et al. pMOSFETs Featuring ALD W Filling Metal Using SiH4 and B2H6 Precursors in 22 nm Node CMOS Technology. Nanoscale Res. Lett. 2017, 12, 306. [Google Scholar] [CrossRef]
  99. Fabreguette, F.H.; Sechrist, Z.A.; Elam, J.W.; George, S.M. Quartz crystal microbalance study of tungsten atomic layer deposition using WF6 and Si2H6. Thin Solid Films 2005, 488, 103–110. [Google Scholar] [CrossRef]
  100. Elam, J.W.; Nelson, C.E.; Grubbs, R.K.; Nelson, C.E. Nucleation and Growth During Tungsten Atomic Layer Deposition on Oxide Surfaces. MRS Online Proc. Libr. Arch. 2001, 386, 41–52. [Google Scholar]
  101. Luoh, T.; Su, C.T.; Yang, T.H.; Chen, K.C.; Lu, C.Y. Advanced tungsten plug process for beyond nanometer technology. Microelectron. Eng. 2008, 85, 1739–1747. [Google Scholar] [CrossRef]
  102. Kim, C.H.; Rho, I.C.; Kim, S.H.; Sohn, Y.S.; Kang, H.S.; Kim, H.J. Improvement of Adhesion Performances of CVD-W Films Deposited on B2H6-Based ALD-W Nucleation Layer. Electrochem. Solid State Lett. 2009, 12, H80–H83. [Google Scholar] [CrossRef]
  103. Kim, C.; Rho, I.; Eun, B.; Kim, H.; Jin, S.; Kang, H. Electrical performances of low resistive W buried gate using B2H6-reduced W nucleation layer technology for 30nm-based DRAM devices. In Proceedings of the 2011 IEEE International Interconnect Technology Conference, Dresden, Germany, 8–12 May 2011; pp. 1–3. [Google Scholar]
  104. Xu, Q.; Luo, J.; Wang, G.L.; Yang, T.; Li, J.F.; Ye, T.C.; Chen, D.P.; Zhao, C. Application of ALD W films as gate filling metal in 22 nm HKMG-last integration: Evaluation and improvement of the adhesion in CMP process. Microelectron. Eng. 2015, 137, 43–46. [Google Scholar] [CrossRef]
  105. Yang, M.D.; Aarnink, A.A.I.; Schmitz, J.; Kovalgin, A.Y. Low-resistivity alpha-phase tungsten films grown by hot-wire assisted atomic layer deposition in high-aspect-ratio structures. Thin Solid Films 2018, 646, 199–208. [Google Scholar] [CrossRef]
  106. Kim, S.H.; Kim, J.T.; Kwak, N.; Kim, J.; Yoon, T.S.; Sohn, H. Effects of phase of underlying W film on chemical vapor deposited-W film growth and applications to contact-plug and bit line processes for memory devices. J. Vac. Sci. Technol. B 2007, 25, 1574–1580. [Google Scholar] [CrossRef]
  107. Yang, H.S.; Malik, R.; Narasimha, S.; Li, Y.; Divakaruni, R.; Agnello, P.; Allen, S.; Antreasyan, A.; Arnold, J.C.; Bandy, K.; et al. Dual stress liner for high performance sub-45nm gate length SOI CMOS manufacturing. In Proceedings of the IEDM Technical Digest, IEEE International Electron Devices Meeting, 2004, San Francisco, CA, USA, 13–15 December 2004; pp. 1075–1077. [Google Scholar]
  108. Nguyen, P.; Barraud, S.; Tabone, C.; Gaben, L.; Cassé, M.; Glowacki, F.; Hartmann, J.; Samson, M.; Maffini-Alvaro, V.; Vizioz, C.; et al. Dual-channel CMOS co-integration with Si NFET and strained-SiGe PFET in nanowire device architecture featuring sub-15nm gate length. In Proceedings of the 2014 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2014; pp. 16.12.11–16.12.14. [Google Scholar]
  109. Jing, W. Strained Silicon—A Technology to Extend Moore’s Law. Microelectronics 2008, 38, 50–56. [Google Scholar]
  110. Orain, S.; Fiori, V.; Villanueva, D.; Dray, A.; Ortolland, C. Method for Managing the Stress Due to the Strained Nitride Capping Layer in MOS Transistors. IEEE Trans. Electron Devices 2007, 54, 814–821. [Google Scholar] [CrossRef]
  111. Varadarajan, B.; Sims, J.; Singhal, A.; Christensen, M.; Jiang, G.; Ilcisir, K.; Shrinivasan, K.; Ayoub, M.; Dharmadhikari, V. The development of high stress silicon nitride film used in strain silicon. Integr. Circuit Appl. 2006, 2, 36–39. [Google Scholar]
  112. Wang, J. The application and forecast of high stress silicon nitride films. Integr. Circuit Appl. 2008, 4, 63–64. [Google Scholar]
  113. Kim, D.; Krishnamohan, T.; Smith, L.; Wong, H.S.P.; Saraswat, K.C. Band to band tunneling study in high mobility materials: III-V, Si, Ge and strained SiGe. In Proceedings of the 2007 65th Annual Device Research Conference, Notre Dame, IN, USA, 18–20 June 2007; pp. 57–58. [Google Scholar] [CrossRef]
  114. Oktyabrsky, S.; Ye, P.D. Fundamentals of III-V Semiconductor MOSFETs; Springer: New York, NY, USA, 2010; pp. 1–445. [Google Scholar] [CrossRef]
  115. Kobayashi, M.; Thareja, G.; Ishibashi, M.; Sun, Y.; Griffin, P.; McVittie, J.; Pianetta, P.; Saraswat, K.; Nishi, Y. Radical oxidation of germanium for interface gate dielectric GeO2 formation in metal-insulator-semiconductor gate stack. J. Appl. Phys. 2009, 106, 104117. [Google Scholar] [CrossRef]
  116. Si-Silicon Electrical Properties. Available online: http://www.ioffe.ru/SVA/NSM/Semicond/Si/electric.html (accessed on 30 December 2018).
  117. Kuhn, K.J. Considerations for Ultimate CMOS Scaling. IEEE Trans. Electron Devices 2012, 59, 1813–1828. [Google Scholar] [CrossRef]
  118. Hussain, M.M.; Shamiryan, D.; Paraschiv, V.; Sano, K.; Reinhardt, K. Cleaning Challenges of High-κ/Metal Gate Structures. In Handbook of Cleaning in Semiconductor Manufacturing; Scrivener Publishing LLC.: Salem, MA, USA, 2011; pp. 249–251. [Google Scholar] [CrossRef]
  119. Huff, H.R.; Richter, C.A.; Green, M.L.; Lucovsky, G.; Hattori, T. Ultrathin SiO2 and High-K Materials for ULSI Gate Dielectrics; Materials Research Society: Warrendale, PA, USA, 1999; Volume 567. [Google Scholar]
  120. Mertens, H.; Ritzenthaler, R.; Arimura, H.; Franco, J.; Sebaai, F.; Hikavyy, A.; Pawlak, B.J.; Machkaoutsan, V.; Devriendt, K.; Tsvetanova, D.; et al. Si-cap-free SiGe p-channel FinFETs and gate-all-around transistors in a replacement metal gate process: Interface trap density reduction and performance improvement by high-pressure deuterium anneal. In Proceedings of the 2015 Symposium on VLSI Technology (VLSI Technology), Kyoto, Japan, 16–18 June 2015; pp. T142–T143. [Google Scholar]
  121. Destefanis, V.; Hartmann, J.M.; Borel, S.; Bensahel, D. High pressure in situ HCl etching of Si(1-x)Ge(x) versus Si for advanced devices. Semicond. Sci. Technol. 2008, 23, 105019. [Google Scholar] [CrossRef]
  122. Wieser, U.; Iamundo, D.; Kunze, U.; Hackbarth, T.; Konig, U. Nanoscale patterning of Si/SiGe heterostructures by electron-beam lithography and selective wet-chemical etching. Semicond. Sci. Technol. 2000, 15, 862–867. [Google Scholar] [CrossRef]
  123. Chang, G.K.; Carns, T.K.; Rhee, S.S.; Wang, K.L. Selective etching of SiGe on SiGe/Si heterostructures. J. Electrochem. Soc. 1991, 138, 202–204. [Google Scholar] [CrossRef]
  124. Carns, T.K.; Tanner, M.O.; Wang, K.L. Chemical Etching of Si1-Xgex In Hf-H2O2-CH3COOH. J. Electrochem. Soc. 1995, 142, 1260–1266. [Google Scholar]
  125. Seidel, H.; Csepregi, L.; Heuberger, A.; Baumgartel, H. Anisotropic etching of crystalline silicon in alkaline solutions I. Orientation dependence and behavior of passivation layers. J. Electrochem. Soc. 1990, 137, 3612–3626. [Google Scholar] [CrossRef]
  126. Wang, F.; Shi, Y.; Liu, J.L.; Lu, Y.; Gu, S.L.; Zheng, Y.D. Highly selective chemical etching of Si vs. Si1-xGex using NH4OH solution. J. Electrochem. Soc. 1997, 144, L37–L39. [Google Scholar] [CrossRef]
  127. Wostyn, K.; Sebai, F.; Rip, J.; Mertens, H.; Witters, L.; Loo, R.; Hikavyy, A.; Milenin, A.; Horiguchi, N.; Collaert, N.; et al. Selective Etch of Si and SiGe for Gate All-Around Device Architecture. ECS Trans. 2015, 69, 147–152. [Google Scholar] [CrossRef]
  128. Ahles, C.F.; Choi, J.Y.; Wolf, S.; Kummel, A.C. Selective Etching of Silicon in Preference to Germanium and Si0.5Ge0.5. ACS Appl. Mater. Interfaces 2017, 9, 20947–20954. [Google Scholar] [CrossRef]
  129. Kil, Y.H.; Yang, J.-H.; Kang, S.; Jeong, T.S.; Kim, T.S.; Shim, K.-H. Selective Chemical Wet Etching of Si0.8Ge0.2/Si Multilayer. J. Semicond. Technol. Sci. 2013, 13, 668–675. [Google Scholar] [CrossRef] [Green Version]
  130. Liu, W.D.; Lee, Y.C.; Sekiguchi, R.; Yoshida, Y.; Komori, K.; Wostyn, K.; Sebaai, F.; Holsteyns, F. Selective Wet Etching in Fabricating SiGe and Ge Nanowires for Gate-all-Around MOSFETs. Solid State Phenom. 2018, 282, 101–106. [Google Scholar] [CrossRef]
  131. Bogumilowicz, Y.; Hartmann, J.M.; Truche, R.; Campidelli, Y.; Rolland, G.; Billon, T. Chemical vapour etching of Si, SiGe and Ge with HCl; applications to the formation of thin relaxed SiGe buffers and to the revelation of threading dislocations. Semicond. Sci. Technol. 2004, 20, 127. [Google Scholar] [CrossRef]
  132. Witters, L.; Arimura, H.; Sebaai, F.; Hikavyy, A.; Milenin, A.P.; Loo, R.; Keersgieter, A.D.; Eneman, G.; Schram, T.; Wostyn, K.; et al. Strained Germanium Gate-All-Around pMOS Device Demonstration Using Selective Wire Release Etch Prior to Replacement Metal Gate Deposition. IEEE Trans. Electron Devices 2017, 64, 4587–4593. [Google Scholar] [CrossRef]
  133. Sebaai, F.; Witters, L.; Holsteyns, F.; Wostyn, K.; Rip, J.; Yukifumi, Y.; Lieten, R.R.; Bilodeau, S.; Cooper, E. Wet Selective SiGe Etch to Enable Ge Nanowire Formation. Solid State Phenom. 2016, 255, 3–7. [Google Scholar] [CrossRef]
  134. Koyama, K.; Hiroi, M.; Tatsumi, T.; Hirayama, H. Etching characteristics of Si1−xGex alloy in ammoniac wet cleaning. Appl. Phys. Lett. 1990, 57, 2202–2204. [Google Scholar] [CrossRef]
  135. Bloem, J.; van Vessem, J.C. Etching Ge with Mixtures of HF-H2O2-H2O. J. Electrochem. Soc. 1962, 109, 33–36. [Google Scholar] [CrossRef]
  136. Markov, I.L. Limits on fundamental limits to computation. Nature 2014, 512, 147–154. [Google Scholar] [CrossRef]
  137. Edelstein, D.; Heidenreich, J.; Goldblatt, R.; Cote, W.; Uzoh, C.; Lustig, N.; Roper, P.; McDevitt, T.; Motsiff, W.; Simon, A.; et al. Full Copper Wiring in a Sub-0.25 μm CMOS ULSI Technology. In Proceedings of the International Electron Devices Meeting, IEDM Technical Digest, Washington, DC, USA, 10 December 1997; pp. 773–776. [Google Scholar]
  138. Hung, R.; Park, J.H.; Ha, T.H.; Lee, M.; Hou, W.; Lei, J.; Bakke, J.R.; Sharma, S.; Sharma, K.R.; Kim, N.S.; et al. Extreme Contact Scaling with Advanced Metallization of Cobalt. In Proceedings of the 2018 IEEE International Interconnect Technology Conference (IITC), Santa Clara, CA, USA, 4–7 June 2018; pp. 30–32. [Google Scholar]
  139. Bekiaris, N.; Wu, Z.; Ren, H.; Naik, M.; Park, J.H.; Lee, M.; Ha, T.H.; Hou, W.; Bakke, J.R.; Gage, M.; et al. Cobalt fill for advanced interconnects. In Proceedings of the 2017 IEEE International Interconnect Technology Conference (IITC), Hsinchu, Taiwan, 16–18 May 2017; pp. 1–3. [Google Scholar]
  140. Zhang, W.; Brongersma, S.H.; Li, Z.; Li, D.; Richard, O.; Maex, K. Analysis of the size effect in electroplated fine copper wires and a realistic assessment to model copper resistivity. J. Appl. Phys. 2007, 101, 063703. [Google Scholar] [CrossRef]
  141. Wen, L.G.; Roussel, P.; Pedreira, O.V.; Briggs, B.; Groven, B.; Dutta, S.; Popovici, M.I.; Heylen, N.; Ciofi, I.; Vanstreels, K.; et al. Atomic Layer Deposition of Ruthenium with TiN Interface for Sub-10 nm Advanced Interconnects beyond Copper. ACS Appl. Mater. Interfaces 2016, 8, 26119–26125. [Google Scholar] [CrossRef]
  142. Veen, M.H.v.d.; Heyler, N.; Pedreira, O.V.; Ciofi, I.; Decoster, S.; Gonzalez, V.V.; Jourdan, N.; Struyf, H.; Croes, K.; Wilson, C.J.; et al. Damascene Benchmark of Ru, Co and Cu in Scaled Dimensions. In Proceedings of the 2018 IEEE International Interconnect Technology Conference (IITC), Santa Clara, CA, USA, 4–7 June 2018; pp. 172–174. [Google Scholar]
  143. Dutta, S.; Kundu, S.; Gupta, A.; Jamieson, G.; Granados, J.F.G.; Bömmels, J.; Wilson, C.J.; Tőkei, Z.; Adelmann, C. Highly Scaled Ruthenium Interconnects. IEEE Electron Device Lett. 2017, 38, 949–951. [Google Scholar] [CrossRef]
  144. Liang Gong, W.; Adelmann, C.; Pedreira, O.V.; Dutta, S.; Popovici, M.; Briggs, B.; Heylen, N.; Vanstreels, K.; Wilson, C.J.; Elshocht, S.V.; et al. Ruthenium metallization for advanced interconnects. In Proceedings of the 2016 IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC), San Jose, CA, USA, 23–26 May 2016; pp. 34–36. [Google Scholar]
  145. Wu, Z.; Li, R.; Xie, X.; Suen, W.; Tseng, J.; Bekiaris, N.; Vinnakota, R.; Kashefizadeh, K.; Naik, M. PVD- Treated ALD TaN for Cu Interconnect Extension to 5nm Node and Beyond. In Proceedings of the 2018 IEEE International Interconnect Technology Conference (IITC), Santa Clara, CA, USA, 4–7 June 2018; pp. 149–151. [Google Scholar]
  146. Chawla, J.S.; Sung, S.H.; Bojarski, S.A.; Carver, C.T.; Chandhok, M.; Chebiam, R.V.; Clarke, J.S.; Harmes, M.; Jezewski, C.J.; Kobrinski, M.J.; et al. Resistance and electromigration performance of 6 nm wires. In Proceedings of the 2016 IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC), San Jose, CA, USA, 23–26 May 2016; pp. 63–65. [Google Scholar]
  147. Veen, M.H.v.d.; Vandersmissen, K.; Dictus, D.; Demuynck, S.; Liu, R.; Bin, X.; Nalla, P.; Lesniewska, A.; Hall, L.; Croes, K.; et al. Cobalt bottom-up contact and via prefill enabling advanced logic and DRAM technologies. In Proceedings of the 2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM), Grenoble, France, 18–21 May 2015; pp. 25–28. [Google Scholar]
  148. Zheng, J.; Chen, P.; Baum, T.H.; Lieten, R.R.; Hunks, W.; Lippy, S.; Frye, A.; Li, W.; Neill, J.O.; Xu, J.; et al. Selective co growth on Cu for void-free via fill. In Proceedings of the 2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM), Grenoble, France, 18–21 May 2015; pp. 265–268. [Google Scholar]
  149. Hu, C.; Kelly, J.; Chen, J.H.; Huang, H.; Ostrovski, Y.; Patlolla, R.; Peethala, B.; Adusumilli, P.; Spooner, T.; Gignac, L.M.; et al. Electromigration and resistivity in on-chip Cu, Co and Ru damascene nanowires. In Proceedings of the 2017 IEEE International Interconnect Technology Conference (IITC), Hsinchu, Taiwan, 16–18 May 2017; pp. 1–3. [Google Scholar]
  150. Posser, G.; Mishra, V.; Reis, R.; Sapatnekar, S.S. Analyzing the Electromigration Effects on Different Metal Layers and Different Wire Lengths. In Proceedings of the 21st IEEE International Conference on Electronics Circuits and Systems (ICECS), Marseille, France, 7–10 December 2014. [Google Scholar] [CrossRef]
  151. Jiang, Y.; Nalla, P.; Matsushita, Y.; Harm, G.; Wang, J.; Kolics, A.; Zhao, L.; Mountsier, T.; Besser, P.; Wu, H.J. Development of electroless Co via-prefill to enable advanced BEOL metallization and via resistance reduction. In Proceedings of the 2016 IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC), San Jose, CA, USA, 23–26 May 2016; pp. 111–113. [Google Scholar]
  152. Ciofi, I.; Roussel, P.J.; Saad, Y.; Moroz, V.; Hu, C.; Baert, R.; Croes, K.; Contino, A.; Vandersmissen, K.; Gao, W.; et al. Modeling of Via Resistance for Advanced Technology Nodes. IEEE Trans. Electron Devices 2017, 64, 2306–2313. [Google Scholar] [CrossRef]
  153. Wan, D.; Paolillo, S.; Rassoul, N.; Kotowska, B.K.; Blanco, V.; Adelmann, C.; Lazzarino, F.; Ercken, M.; Murdoch, G.; Bömmels, J.; et al. Subtractive Etch of Ruthenium for Sub-5nm Interconnect. In Proceedings of the 2018 IEEE International Interconnect Technology Conference (IITC), Santa Clara, CA, USA, 4–7 June 2018; pp. 10–12. [Google Scholar]
  154. Griggio, F.; Palmer, J.; Pan, F.; Toledo, N.; Schmitz, A.; Tsameret, I.; Kasim, R.; Leatherman, G.; Hicks, J.; Madhavan, A.; et al. Reliability of dual-damascene local interconnects featuring cobalt on 10 nm logic technology. In Proceedings of the 2018 IEEE International Reliability Physics Symposium (IRPS), Burlingame, CA, USA, 11–15 March 2018; pp. 6E.3-1–6E.3-5. [Google Scholar]
  155. Pandey, R.; Agrawal, N.; Arghavani, R.; Datta, S. Analysis of local interconnect resistance at scaled process nodes. In Proceedings of the 2015 73rd Annual Device Research Conference (DRC), Columbus, OH, USA, 21–24 June 2015; p. 184. [Google Scholar]
  156. Kamineni, V.; Raymond, M.; Siddiqui, S.; Mont, F.; Tsai, S.; Niu, C.; Labonte, A.; Labelle, C.; Fan, S.; Peethala, B.; et al. Tungsten and cobalt metallization: A material study for MOL local interconnects. In Proceedings of the 2016 IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC), San Jose, CA, USA, 23–26 May 2016; pp. 105–107. [Google Scholar]
  157. Xunyuan, Z.; Huai, H.; Patlolla, R.; Wei, W.; Mont, F.W.; Juntao, L.; Chao-Kun, H.; Liniger, E.G.; McLaughlin, P.S.; Labelle, C.; et al. Ruthenium interconnect resistivity and reliability at 48 nm pitch. In Proceedings of the 2016 IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC), San Jose, CA, USA, 23–26 May 2016; pp. 31–33. [Google Scholar]
  158. Fan, S.S.; Chen, J.H.; Kamineni, V.K.; Zhang, X.; Raymond, M.; Labelle, C. Middle of line RC performance study at the 7 nm node. In Proceedings of the 2017 IEEE International Interconnect Technology Conference (IITC), Hsinchu, Taiwan, 16–18 May 2017; pp. 1–3. [Google Scholar]
  159. Hosseini, M.; Ando, D.; Sutou, Y.; Koike, J. Co and CoTix for contact plug and barrier layer in integrated circuits. Microelectron. Eng. 2018, 189, 78–84. [Google Scholar] [CrossRef]
  160. Hosseini, M.; Koike, J.; Sutou, Y.; Zhao, L.; Lai, S.; Arghavani, R. Amorphous Co-Ti alloy as a single layer barrier for Co local interconnect structure. In Proceedings of the 2016 IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC), San Jose, CA, USA, 23–26 May 2016; pp. 162–164. [Google Scholar]
  161. Hellings, G.; Subirats, A.; Franco, J.; Schram, T.; Ragnarsson, L.; Witters, L.; Roussel, P.; Linten, D.; Horiguchi, N.; Boschke, R. Demonstration of sufficient BTI reliability for a 14-nm finFET 1.8 V I/O technology featuring a thick ALD SiO2 IL and Ge p-channel. In Proceedings of the 2017 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 2–6 April 2017; pp. FA-5.1–FA-5.4. [Google Scholar]
  162. Ren, P.; Gao, R.; Ji, Z.; Arimura, H.; Zhang, J.F.; Wang, R.; Duan, M.; Zhang, W.; Franco, J.; Sioncke, S.; et al. Understanding charge traps for optimizing Si-passivated Ge nMOSFETs. In Proceedings of the 2016 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 14–16 June 2016; pp. 1–2. [Google Scholar]
  163. Benbakhti, B.; Zhang, J.F.; Ji, Z.; Zhang, W.; Mitard, J.; Kaczer, B.; Groeseneken, G.; Hall, S.; Robertson, J.; Chalker, P. Characterization of Electron Traps in Si-Capped Ge MOSFETs With HfO2/SiO2 Gate Stack. IEEE Electron Device Lett. 2012, 33, 1681–1683. [Google Scholar] [CrossRef]
  164. Bao, R.; Southwick, R.G.; Zhou, H.; Lee, C.H.; Linder, B.P.; Ando, T.; Guo, D.; Jagannathan, H.; Narayanan, V. Differentiated Performance and Reliability Enabled by Multi-Work Function Solution in RMG Silicon and SiGe MOSFETs. In Proceedings of the 2018 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 18–22 June 2018; pp. 115–116. [Google Scholar]
  165. Franco, J.; Kaczer, B.; Chasin, A.; Bury, E.; Linten, D. Hot electron and hot hole induced degradation of SiGe p-FinFETs studied by degradation maps in the entire bias space. In Proceedings of the 2018 IEEE International Reliability Physics Symposium (IRPS), Burlingame, CA, USA, 11–15 March 2018; pp. 5A.1-1–5A.1-7. [Google Scholar]
  166. Waltl, M.; Rzepa, G.; Grill, A.; Goes, W.; Franco, J.; Kaczer, B.; Witters, L.; Mitard, J.; Horiguchi, N.; Grasser, T. Superior NBTI in High-k SiGe Transistors—Part II: Theory. IEEE Trans. Electron Devices 2017, 64, 2099–2105. [Google Scholar] [CrossRef]
  167. Waltl, M.; Rzepa, G.; Grill, A.; Goes, W.; Franco, J.; Kaczer, B.; Witters, L.; Mitard, J.; Horiguchi, N.; Grasser, T. Superior NBTI in High-k SiGe Transistors—Part I: Experimental. IEEE Trans. Electron Devices 2017, 64, 2092–2098. [Google Scholar] [CrossRef]
  168. Kumar, P.; Leroux, C.; Mohamad, B.; Toffoli, A.; Romano, G.; Garros, X.; Reimbold, G.; Kumar, P.; Domengie, F.; Segovia, C.S.; et al. Effect of La and Al addition used for threshold voltage shift on the BTI reliability of HfON-based FDSOI MOSFETs. In Proceedings of the 2017 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 2–6 April 2017; pp. 2B-2.1–2B-2.7. [Google Scholar]
  169. Zhang, J.; Ando, T.; Yeung, C.W.; Wang, M.; Kwon, O.; Galatage, R.; Chao, R.; Loubet, N.; Moon, B.K.; Bao, R.; et al. High-k metal gate fundamental learning and multi-Vt options for stacked nanosheet gate-all-around transistor. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 22.21.21–22.21.24. [Google Scholar]
  170. Vandooren, A.; Franco, J.; Wu, Z.; Parvais, B.; Li, W.; Witters, L.; Walke, A.; Peng, L.; Deshpande, V.; Rassoul, N.; et al. First Demonstration of 3D stacked Finfets at a 45 nm fin pitch and 110nm gate pitch technology on 300 mm wafers. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 7.1.1–7.1.4. [Google Scholar]
  171. Lima, L.P.B.; Dekkers, H.F.W.; Lisoni, J.G.; Diniz, J.A.; Van Elshocht, S.; De Gendt, S. Metal gate work function tuning by Al incorporation in TiN. J. Appl. Phys. 2014, 115, 074504. [Google Scholar] [CrossRef]
  172. Lim, A.E.; Lee, R.T.P.; Samudra, G.S.; Kwong, D.; Yeo, Y. Modification of molybdenum gate electrode work function via (La-, Al-induced) dipole effect at High-k/SiO2 interface. IEEE Electron Device Lett. 2008, 29, 848–851. [Google Scholar] [CrossRef]
  173. Bao, R.; Hung, S.; Wang, M.; Chung, K.; Barman, S.; Krishnan, S.A.; Yang, Y.; Tang, W.; Li, L.; Lin, Y.; et al. Novel Materials and Processes in Replacement Metal Gate for Advanced CMOS Technology. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 11.14.11–11.14.14. [Google Scholar]
  174. Ando, T.; Kannan, B.; Kwon, U.; Lai, W.L.; Linder, B.P.; Cartier, E.A.; Haight, R.; Copel, M.; Bruley, J.; Krishnan, S.A.; et al. Simple Gate Metal Anneal (SIGMA) stack for FinFET Replacement Metal Gate toward 14nm and beyond. In Proceedings of the 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers, Honolulu, HI, USA, 9–12 June 2014; pp. 1–2. [Google Scholar]
  175. Ragnarsson, L.; Chew, S.A.; Dekkers, H.; Luque, M.T.; Parvais, B.; Keersgieter, A.D.; Devriendt, K.; Ammel, A.V.; Schram, T.; Yoshida, N.; et al. Highly scalable bulk FinFET Devices with Multi-VT options by conductive metal gate stack tuning for the 10-nm node and beyond. In Proceedings of the 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers, Honolulu, HI, USA, 9–12 June 2014; pp. 1–2. [Google Scholar]
  176. Bury, E.; Kaczer, B.; Linten, D.; Witters, L.; Mertens, H.; Waldron, N.; Zhou, X.; Collaert, N.; Horiguchi, N.; Spessot, A.; et al. Self-heating in FinFET and GAA-NW using Si, Ge and III/V channels. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 15.16.11–15.16.14. [Google Scholar]
  177. Chalia, G.; Hegde, R.S. Study of Self-Heating Effects in Silicon Nano-Sheet Transistors. In Proceedings of the 2018 IEEE International Conference on Electron Devices and Solid State Circuits (EDSSC), Shenzhen, China, 6–8 June 2018; pp. 1–2. [Google Scholar]
  178. Cai, L.; Chen, W.; Du, G.; Zhang, X.; Liu, X. Layout Design Correlated with Self-Heating Effect in Stacked Nanosheet Transistors. IEEE Trans. Electron Devices 2018, 65, 2647–2653. [Google Scholar] [CrossRef]
  179. Chen, W.; Cai, L.; Wang, K.; Zhang, X.; Liu, X.; Du, G. Self-heating induced Variability and Reliability in Nanosheet-FETs Based SRAM. In Proceedings of the 2018 IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA), Singapore, 16–19 July 2018; pp. 1–4. [Google Scholar]
  180. Wang, R.; Guo, S.; Zhang, Z.; Zou, J.; Mao, D.; Huang, R. Complex Random Telegraph Noise (RTN): What Do We Understand? In Proceedings of the 2018 IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA), Singapore, 16–19 July 2018; pp. 1–7. [Google Scholar]
  181. Guo, S.; Lin, Z.; Wang, R.; Zhang, Z.; Zhang, Z.; Wang, Y.; Huang, R. Investigation on the amplitude coupling effect of random telegraph noise (RTN) in nanoscale FinFETs. In Proceedings of the 2018 IEEE International Reliability Physics Symposium (IRPS), Burlingame, CA, USA, 11–15 March 2018; pp. P-TX.6-1–P-TX.6-4. [Google Scholar]
  182. Brown, J.; Gao, R.; Ji, Z.; Chen, J.; Wu, J.; Zhang, J.; Zhou, B.; Shi, Q.; Crowford, J.; Zhang, W. A low-power and high-speed True Random Number Generator using generated RTN. In Proceedings of the 2018 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 18–22 June 2018; pp. 95–96. [Google Scholar]
  183. Wangran, W.; Wu, H.; Si, M.; Conrad, N.; Yi, Z.; Ye, P.D. RTN and low frequency noise on ultra-scaled near-ballistic Ge nanowire nMOSFETs. In Proceedings of the 2016 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 14–16 June 2016; pp. 1–2. [Google Scholar]
  184. Takagi, S.; Ahn, D.H.; Gotow, T.; Noguchi, M.; Nishi, K.; Kim, S.; Yokoyama, M.; Chang, C.; Yoon, S.; Yokoyama, C.; et al. III–V-based low power CMOS devices on Si platform. In Proceedings of the 2017 IEEE International Conference on IC Design and Technology (ICICDT), Austin, TX, USA, 23–25 May 2017; pp. 1–4. [Google Scholar]
  185. Mols, Y.; Kunert, B.; Gaudin, G.; Langer, R.; Caymax, M. Study towards integration of In0.53Ga0.47As on 300 mm Si for CMOS sub-7 nm node: Development of thin graded InxGa1-xAs buffers on GaAs. J. Cryst. Growth 2016, 452, 244–247. [Google Scholar] [CrossRef]
  186. Zhou, X.; Waldron, N.; Boccardi, G.; Sebaai, F.; Merckling, C.; Eneman, G.; Sioncke, S.; Nyns, L.; Opdebeeck, A.; Maes, J.W.; et al. Scalability of InGaAs gate-all-around FET integrated on 300 mm Si platform: Demonstration of channel width down to 7 nm and L-g down to 36 nm. In Proceedings of the 2016 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 14–16 June 2016; pp. 1–2. [Google Scholar]
  187. Liu, Z.Y.; Merckling, C.; Rooyackers, R.; Franquet, A.; Richard, O.; Bender, H.; Vila, M.; Rubio-Zuazo, J.; Castro, G.R.; Collaert, N.; et al. The effect of Ga pre-deposition on Si (111) surface for InAs nanowire selective area hetero-epitaxy. J. Appl. Phys. 2018, 123, 145301. [Google Scholar] [CrossRef]
  188. Sollier, S.; Widiez, J.; Gaudin, G.; Mazen, F.; Baron, T.; Martin, M.; Roure, M.C.; Besson, P.; Morales, C.; Beche, E.; et al. 300 mm InGaAsOI substrate fabrication using the Smart CutTM technology. In Proceedings of the 2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Rohnert Park, CA, USA, 5–8 October 2015; pp. 1–2. [Google Scholar]
  189. Mauthe, S.; Schmid, H.; Mayer, B.; Wirths, S.; Convertino, C.; Baumgartner, Y.; Czornomaz, L.; Sousa, M.; Staudinger, P.; Riel, H.; et al. Monolithic Integration of III–V on silicon for photonic and electronic applications. In Proceedings of the 2018 76th Device Research Conference (DRC), Santa Barbara, CA, USA, 24–27 June 2018; pp. 1–2. [Google Scholar]
  190. Knoedler, M.; Bologna, N.; Schmid, H.; Borg, M.; Moselund, K.E.; Wirths, S.; Rossell, M.D.; Riel, H. Observation of Twin-free GaAs Nanowire Growth Using Template Assisted Selective Epitaxy. Cryst. Growth Des. 2017, 17, 6297–6302. [Google Scholar] [CrossRef]
  191. Czornomaz, L.; Uccelli, E.; Sousa, M.; Deshpande, V.; Djara, V.; Caimi, D.; Rossell, M.D.; Erni, R.; Fompeyrine, J. Confined Epitaxial Lateral Overgrowth (CELO): A novel concept for scalable integration of CMOS-compatible InGaAs-on-insulator MOSFETs on large-area Si substrates. In Proceedings of the 2015 Symposium on VLSI Technology (VLSI Technology), Kyoto, Japan, 16–18 June 2015; pp. T172–T173. [Google Scholar]
  192. Djara, V.; Deshpande, V.; Sousa, M.; Caimi, D.; Czornomaz, L.; Fompeyrine, J. CMOS-Compatible Replacement Metal Gate InGaAs-OI FinFET with I-ON = 156 mu A/mu m at V-DD = 0.5 V and I-OFF = 100 nA/mu m. IEEE Electron Device Lett. 2016, 37, 169–172. [Google Scholar] [CrossRef]
  193. Hahn, H.; Deshpande, V.; Caruso, E.; Sant, S.; Connor, E.O.; Baumgartner, Y.; Sousa, M.; Caimi, D.; Olziersky, A.; Palestri, P.; et al. A scaled replacement metal gate InGaAs-on-Insulator n-FinFET on Si with record performance. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 17.15.11–17.15.14. [Google Scholar]
  194. Deshpande, V.; Djara, V.; O’Connor, E.; Hashemi, P.; Balakrishnan, K.; Caimi, D.; Sousa, M.; Czornomaz, L.; Fompeyrine, J. DC and RF characterization of InGaAs replacement metal gate (RMG) nFETs on SiGe-OI FinFETs fabricated by 3D monolithic integration. Solid-State Electron. 2017, 128, 87–91. [Google Scholar] [CrossRef]
  195. Li, X.M.; Tao, L.; Chen, Z.F.; Fang, H.; Li, X.S.; Wang, X.R.; Xu, J.B.; Zhu, H.W. Graphene and related two-dimensional materials: Structure-property relationships for electronics and optoelectronics. Appl. Phys. Rev. 2017, 4, 021306. [Google Scholar] [CrossRef] [Green Version]
  196. Balandin, A.A.; Ghosh, S.; Bao, W.Z.; Calizo, I.; Teweldebrhan, D.; Miao, F.; Lau, C.N. Superior thermal conductivity of single-layer graphene. Nano Lett. 2008, 8, 902–907. [Google Scholar] [CrossRef]
  197. Bonaccorso, F.; Sun, Z.; Hasan, T.; Ferrari, A.C. Graphene photonics and optoelectronics. Nat. Photonics 2010, 4, 611–622. [Google Scholar] [CrossRef] [Green Version]
  198. Wu, Y.Q.; Jenkins, K.A.; Valdes-Garcia, A.; Farmer, D.B.; Zhu, Y.; Bol, A.A.; Dimitrakopoulos, C.; Zhu, W.J.; Xia, F.N.; Avouris, P.; et al. State-of-the-Art Graphene High-Frequency Electronics. Nano Lett. 2012, 12, 3062–3067. [Google Scholar] [CrossRef]
  199. Jiao, L.Y.; Wang, X.R.; Diankov, G.; Wang, H.L.; Dai, H.J. Facile synthesis of high-quality graphene nanoribbons. Nat. Nanotechnol. 2010, 5, 321–325. [Google Scholar] [CrossRef] [Green Version]
  200. Wang, X.R.; Ouyang, Y.J.; Li, X.L.; Wang, H.L.; Guo, J.; Dai, H.J. Room-temperature all-semiconducting sub-10-nm graphene nanoribbon field-effect transistors. Phys. Rev. Lett. 2008, 100, 206803. [Google Scholar] [CrossRef]
  201. Zhou, S.Y.; Gweon, G.H.; Fedorov, A.V.; First, P.N.; De Heer, W.A.; Lee, D.H.; Guinea, F.; Castro Neto, A.H.; Lanzara, A. Substrate-induced bandgap opening in epitaxial graphene. Nat. Mater. 2007, 6, 916. [Google Scholar] [CrossRef]
  202. Liu, W.; Kraemer, S.; Sarkar, D.; Li, H.; Ajayan, P.M.; Banerjeet, K. Controllable and Rapid Synthesis of High-Quality and Large-Area Bernal Stacked Bilayer Graphene Using Chemical Vapor Deposition. Chem. Mat. 2014, 26, 907–915. [Google Scholar] [CrossRef]
  203. Moon, J.S.; Seo, H.C.; Stratan, F.; Antcliffe, M.; Schmitz, A.; Ross, R.S.; Kiselev, A.A.; Wheeler, V.D.; Nyakiti, L.O.; Gaskill, D.K.; et al. Lateral Graphene Heterostructure Field-Effect Transistor. IEEE Electron Device Lett. 2013, 34, 1190–1192. [Google Scholar] [CrossRef]
  204. Yuan, S.G.; Yang, Z.B.; Xie, C.; Yan, F.; Dai, J.Y.; Lau, S.P.; Chan, H.L.W.; Hao, J.H. Ferroelectric-Driven Performance Enhancement of Graphene Field-Effect Transistors Based on Vertical Tunneling Heterostructures. Adv. Mater. 2016, 28, 10048–10054. [Google Scholar] [CrossRef]
  205. Schwierz, F.; Pezoldt, J.; Granzner, R. Two-dimensional materials and their prospects in transistor electronics. Nanoscale 2015, 7, 8261–8283. [Google Scholar] [CrossRef] [Green Version]
  206. Lee, I.; Rathi, S.; Lim, D.; Li, L.; Park, J.; Lee, Y.; Yi, K.S.; Dhakal, K.P.; Kim, J.; Lee, C.; et al. Gate-Tunable Hole and Electron Carrier Transport in Atomically Thin Dual-Channel WSe2/MoS2 Heterostructure for Ambipolar Field-Effect Transistors. Adv. Mater. 2016, 28, 9519–9525. [Google Scholar] [CrossRef]
  207. Nourbakhsh, A.; Zubair, A.; Sajjad, R.N.; Tavakkoli, K.G.A.; Chen, W.; Fang, S.; Ling, X.; Kong, J.; Dresselhaus, M.S.; Kaxiras, E.; et al. MoS2 Field-Effect Transistor with Sub-10 nm Channel Length. Nano Lett. 2016, 16, 7798–7806. [Google Scholar] [CrossRef]
  208. Roy, T.; Tosun, M.; Kang, J.S.; Sachid, A.B.; Desai, S.B.; Hettick, M.; Hu, C.M.C.; Javey, A. Field-Effect Transistors Built from All Two-Dimensional Material Components. ACS Nano 2014, 8, 6259–6264. [Google Scholar] [CrossRef]
  209. Salahuddin, S.; Datta, S. Use of negative capacitance to provide voltage amplifcation for low power nanoscale devices. Nano Lett. 2008, 8, 405–410. [Google Scholar] [CrossRef]
  210. Zhirnov, V.V.; Cavin, R.K. Nanoelectronics: Negative capacitance to the rescue? Nat. Nanotechnol. 2008, 3, 77–78. [Google Scholar] [CrossRef]
  211. Verhulst, A.S.; Vandenberghe, W.G.; Maex, K.; Groeseneken, G. Boosting the on-current of a n-channel nanowire tunnel field-effect transistor by source material optimization. J. Appl. Phys. 2008, 104, 064514. [Google Scholar] [CrossRef]
  212. Avci, U.E.; Morris, D.H.; Young, I.A. Tunnel field-effect transistors: Prospects and challenges. IEEE J. Electron Devices Soc. 2015, 3, 88–95. [Google Scholar] [CrossRef]
  213. Gandhi, R.; Chen, Z.; Singh, N.; Banerjee, K.; Lee, S. Vertical Si-Nanowire n-Type Tunneling FETs with Low Subthreshold Swing (≤50 mV/decade) at Room Temperature. IEEE Electron Device Lett. 2011, 32, 437–439. [Google Scholar] [CrossRef]
  214. Lu, H.; Seabaugh, A. Tunnel field-effect transistors: State-of-the-art. IEEE J. Electron Devices Soc. 2014, 2, 44–49. [Google Scholar] [CrossRef]
  215. Kim, S.H.; Kam, H.; Hu, C.; Liu, T.J. Germanium-source tunnel field effect transistors with record high ION/IOFF. In Proceedings of the 2009 Symposium on VLSI Technology, Honolulu, HI, USA, 16–18 June 2009; pp. 178–179. [Google Scholar]
  216. Luisier, M.; Klimeck, G. Simulation of nanowire tunneling transistors: From the Wentzel–Kramers–Brillouin approximation to full-band phonon-assisted tunneling. J. Appl. Phys. 2010, 107, 084507. [Google Scholar] [CrossRef]
  217. Zhao, P.; Chauhan, J.; Guo, J. Computational study of tunneling transistor based on graphene nanoribbon. Nano Lett. 2009, 9, 684–688. [Google Scholar] [CrossRef]
  218. Chin, S.K.; Seah, D.; Lam, K.T.; Samudra, G.S.; Liang, G. Device physics and characteristics of graphene nanoribbon tunneling FETs. IEEE Trans. Electron Devices 2010, 57, 3144–3152. [Google Scholar] [CrossRef]
  219. Lam, K.T.; Cao, X.; Guo, J. Device performance of heterojunction tunneling field-effect transistors based on transition metal dichalcogenide monolayer. IEEE Electron Device Lett. 2013, 34, 1331–1333. [Google Scholar] [CrossRef]
  220. Ilatikhameneh, H.; Tan, Y.; Novakovic, B.; Klimeck, G.; Rahman, R.; Appenzeller, J. Tunnel field-effect transistors in 2-D transition metal dichalcogenide materials. IEEE J. Explor. Solid-State Comput. Devices Circuits 2015, 1, 12–18. [Google Scholar] [CrossRef]
  221. Seo, J.; Jung, S.; Shin, M. The Performance of Uniaxially Strained Phosphorene Tunneling Field-Effect Transistors. IEEE Electron Device Lett. 2017, 38, 1150–1152. [Google Scholar] [CrossRef]
  222. Chen, F.W.; Ilatikhameneh, H.; Ameen, T.A.; Klimeck, G.; Rahman, R. Thickness engineered tunnel field-effect transistors based on phosphorene. IEEE Electron Device Lett. 2017, 38, 130–133. [Google Scholar] [CrossRef]
  223. Szabó, Á.; Koester, S.J.; Luisier, M. Ab-initio simulation of van der waals MoTe2–SnS2 heterotunneling fets for low-power electronics. IEEE Electron Device Lett. 2015, 36, 514–516. [Google Scholar]
  224. Cao, J.; Logoteta, D.; Özkaya, S.; Biel, B.; Cresti, A.; Pala, M.G.; Esseni, D. Operation and Design of van der Waals Tunnel Transistors: A 3-D Quantum Transport Study. IEEE Trans. Electron Devices 2016, 63, 4388–4394. [Google Scholar] [CrossRef]
  225. Manipatruni, S.; Nikonov, D.E.; Lin, C.C.; Gosavi, T.A.; Liu, H.; Prasad, B.; Huang, Y.L.; Onturim, E.; Ramesh, R.; Young, I.A. Scalable energy-efficient magnetoelectric spin–orbit logic. Nature 2019, 565, 35–42. [Google Scholar] [CrossRef]
  226. Watanabe, K.; Takagi, Y.; Obara, K.; Okuda, H.; Nakagaki, R.; Kurosaki, T. Efficient killer-defect control using reliable high-throughput SEM-ADC. In Proceedings of the 2001 IEEE/SEMI Advanced Semiconductor Manufacturing Conference (IEEE Cat. No.01CH37160), Munich, Germany, 23–24 April 2001; pp. 219–222. [Google Scholar]
  227. Patterson, O.D.; Seefeldt, B.; Liang, W.; Hu, H.; Chen, J.; Su, Y.; Yeh, H.T.; Zhang, P. Shortest path CD measurement using contour extraction. In Proceedings of the 2018 29th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), Saratoga Springs, NY, USA, 30 April–3 May 2018; pp. 313–319. [Google Scholar]
  228. Takamizawa, H.; Shimizu, Y.; Inoue, K.; Toyama, T.; Okada, N.; Kato, M.; Uchida, H.; Yano, F.; Nishida, A.; Mogami, T.; et al. Origin of characteristic variability in metal-oxide-semiconductor field-effect transistors revealed by three-dimensional atom imaging. Appl. Phys. Lett. 2011, 99, 133502. [Google Scholar] [CrossRef]
  229. Grenier, A.; Duguay, S.; Barnes, J.P.; Serra, R.; Haberfehlner, G.; Cooper, D.; Bertin, F.; Barraud, S.; Audoit, G.; Arnoldi, L.; et al. 3D analysis of advanced nano-devices using electron and atom probe tomography. Ultramicroscopy 2014, 136, 185–192. [Google Scholar] [CrossRef]
  230. Barnes, J.P.; Grenier, A.; Mouton, I.; Barraud, S.; Audoit, G.; Bogdanowicz, J.; Fleischmann, C.; Melkonyan, D.; Vandervorst, W.; Duguay, S.; et al. Atom probe tomography for advanced nanoelectronic devices: Current status and perspectives. Scr. Mater. 2018, 148, 91–97. [Google Scholar] [CrossRef]
  231. Giddings, A.D.; Koelling, S.; Shimizu, Y.; Estivill, R.; Inoue, K.; Vandervorst, W.; Yeoh, W.K. Industrial application of atom probe tomography to semiconductor devices. Scr. Mater. 2018, 148, 82–90. [Google Scholar] [CrossRef]
  232. Patrick, H.J.; Germer, T.A. Progress towards traceable nanoscale optical critical dimension metrology for semiconductors. In Advanced Characterization Techniques for Optics, Semiconductors, and Nanotechnologies III; Duparre, A., Singh, B., Gu, Z.H., Eds.; SPIE: San Diego, CA, USA, 2007; Volume 6672. [Google Scholar]
  233. Germer, T.A.; Patrick, H.J.; Silver, R.M.; Bunday, B. Developing an Uncertainty Analysis for Optical Scatterometry; SPIE: San Diego, CA, USA, 2009; Volume 7272. [Google Scholar]
  234. Diebold, A.C. Characterization and metrology for nanoelectronics. In Frontiers of Characterization and Metrology for Nanoelectronics: 2007; Seiler, D.G., Diebold, A.C., McDonald, R., Garner, C.M., Herr, D., Khosla, R.P., Secula, E.M., Eds.; American Institute of Physics: Melville, NY, USA, 2007; Volume 931, pp. 20–33. [Google Scholar]
  235. Vagos, P.; Rovira, P.I. Mueller Matrix Spectroscopy Using Chiroptic. US Patent 8427645-B2, 23 April 2013. [Google Scholar]
  236. Novikova, T.; De Martino, A.; Ben Hatit, S.; Drevillon, B. Application of Mueller polarimetry in conical diffraction for critical dimension measurements in microelectronics. Appl. Opt. 2006, 45, 3688–3697. [Google Scholar] [CrossRef]
  237. Liu, S.Y.; Chen, X.G.; Zhang, C.W. Development of a broadband Mueller matrix ellipsometer as a powerful tool for nanostructure metrology. Thin Solid Films 2015, 584, 176–185. [Google Scholar] [CrossRef]
  238. Vaid, A.; Bin Yan, B.; Jiang, Y.T.; Kelling, M.; Hartig, C.; Allgair, J.; Ebersbach, P.; Sendelbach, M.; Rana, N.; Katnani, A.; et al. A Holistic Metrology Approach: Hybrid Metrology Utilizing Scatterometry, CD-AFM and CD-SEM. In Metrology, Inspection, and Process Control for Microlithography Xxv, Pt 1 and Pt 2; Raymond, C.J., Ed.; SPIE: San Jose, CA, USA, 2011; Volume 7971. [Google Scholar]
  239. Radamson, H.H.; Hallstedt, J. Application of high-resolution X-ray diffraction for detecting defects in SiGe(C) materials. J. Phys. Condes. Matter 2005, 17, S2315–S2322. [Google Scholar] [CrossRef]
  240. Hansson, G.V.; Radamsson, H.H.; Ni, W.X. Strain and relaxation in SI-MBE structures studied by reciprocal space mapping using high-resolution X-ray-diffraction. J. Mater. Sci. Mater. Electron. 1995, 6, 292–297. [Google Scholar] [CrossRef]
  241. Radamson, H.H.; Sardela, M.R.; Hultman, L.; Hansson, G.V. Characterization of highly sb-doped SI using high-resolution X-ray-diffraction and transmission electron-microscopy. J. Appl. Phys. 1994, 76, 763–767. [Google Scholar] [CrossRef]
  242. Radamson, H.H.; Kolahdouz, M.; Ghandi, R.; Ostling, M. High strain amount in recessed junctions induced by selectively deposited boron-doped SiGe layers. Mater. Sci. Eng. B Adv. Funct. Solid-State Mater. 2008, 154, 106–109. [Google Scholar] [CrossRef]
  243. Sardela, M.R.; Radamson, H.H.; Ekberg, J.O.; Sundgren, J.E.; Hansson, G.V. Relation between electrical activation and the B-induced strain in Si determined by reciprocal lattice mapping. Semicond. Sci. Technol. 1994, 9, 1272–1275. [Google Scholar] [CrossRef]
  244. Hung, P.Y.; Kasper, N.; Nadeau, J.; Ok, I.; Hobbs, C.; Vigliante, A. Application of inline high resolution X-ray diffraction in monitoring Si/SiGe and conventional Si in SOI fin-shaped field effect transistor processes. J. Vac. Sci. Technol. B 2012, 30, 5. [Google Scholar] [CrossRef]
  245. Medikonda, M.; Muthinti, G.R.; Fronheiser, J.; Kamineni, V.; Wormington, M.; Matney, K.; Adam, T.N.; Karapetrova, E.; Diebold, A.C. Measurement of periodicity and strain in arrays of single crystal silicon and pseudomorphic Si1-xGex/Si fin structures using x-ray reciprocal space maps. J. Vac. Sci. Technol. B 2014, 32, 021804. [Google Scholar] [CrossRef]
  246. Mochizuki, S.; Murray, C.E.; Madan, A.; Pinto, T.; Wang, Y.Y.; Li, J.T.; Weng, W.H.; Jagannathan, H.; Imai, Y.; Kimura, S.; et al. Quantification of local strain distributions in nanoscale strained SiGe FinFET structures. J. Appl. Phys. 2017, 122, 135705. [Google Scholar] [CrossRef]
  247. Schulze, A.; Loo, R.; Witters, L.; Mertens, H.; Gawlik, A.; Horiguchi, N.; Collaert, N.; Wormington, M.; Ryan, P.; Vandervorst, W.; et al. Strainand Compositional Analysis of (Si) Ge Fin Structures Using High Resolution X-Ray Diffraction. Phys. Status Solidi (c) 2017, 14. [Google Scholar] [CrossRef]
  248. Reboh, S.; Coquand, R.; Barraud, S.; Loubet, N.; Bernier, N.; Audoit, G.; Rouviere, J.L.; Augendre, E.; Li, J.; Gaudiello, J.; et al. Strain, stress, and mechanical relaxation in fin-patterned Si/SiGe multilayers for sub-7nm nanosheet gate-all-around device technology. Appl. Phys. Lett. 2018, 112, 051901. [Google Scholar] [CrossRef]
  249. Wang, C.Q.; Jones, R.L.; Lin, E.K.; Wu, W.L.; Villarrubia, J.S.; Choi, K.W.; Clarke, J.S.; Rice, B.J.; Leeson, M.; Roberts, J.; et al. Line edge roughness characterization of sub-50 nm structures using CD-SAXS: Round-robin benchmark results. In Metrology, Inspection, and Process Control for Microlithography Xxi, Pts 1–3; Archie, C.N., Ed.; SPIE: San Jose, CA, USA, 2007; Volume 6518. [Google Scholar]
  250. Sunday, D.F.; List, S.; Chawla, J.S.; Kline, R.J. Determining the shape and periodicity of nanostructures using small-angle X-ray scattering. J. Appl. Cryst. 2015, 48, 1355–1363. [Google Scholar] [CrossRef]
  251. Sunday, D.F.; Hammond, M.R.; Wang, C.Q.; Wu, W.L.; Kline, R.J.; Stein, G.E. Three-dimensional X-ray metrology for block copolymer lithography line-space patterns. J. Micro-Nanolithogr. MEMS MOEMS 2013, 12, 031103. [Google Scholar] [CrossRef]
  252. Pfluger, M.; Soltwisch, V.; Probst, J.; Scholze, F.; Krumrey, M. Grazing-incidence small-angle X-ray scattering (GISAXS) on small periodic targets using large beams. IUCrJ 2017, 4, 431–438. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  253. NovaFit™. Nova’s Data Empowered Metrology Solution. Available online: http://www.novami.com/nova-product/novafit (accessed on 4 April 2019).
Figure 1. Miniaturization of the transistor gate length in different technology nodes and production years [22].
Figure 1. Miniaturization of the transistor gate length in different technology nodes and production years [22].
Micromachines 10 00293 g001
Figure 2. A schematic drawing of MOSFET downscaling [23].
Figure 2. A schematic drawing of MOSFET downscaling [23].
Micromachines 10 00293 g002
Figure 3. Normalized cost/layer vs. lithography method.
Figure 3. Normalized cost/layer vs. lithography method.
Micromachines 10 00293 g003
Figure 4. Evolution of the lithography technique where the pattern becomes denser and smaller in each new technology node. To prevent pattern collapse, the thickness of resist is reduced proportionally to the minimum half-pitch (HP) of lines/spaces.
Figure 4. Evolution of the lithography technique where the pattern becomes denser and smaller in each new technology node. To prevent pattern collapse, the thickness of resist is reduced proportionally to the minimum half-pitch (HP) of lines/spaces.
Micromachines 10 00293 g004
Figure 5. Process flow for the bulk FinFETs or planar transistors. The FinFETs process are underlined [36].
Figure 5. Process flow for the bulk FinFETs or planar transistors. The FinFETs process are underlined [36].
Micromachines 10 00293 g005
Figure 6. (a) Parasitic resistances and (b) capacitances in each technology node [22].
Figure 6. (a) Parasitic resistances and (b) capacitances in each technology node [22].
Micromachines 10 00293 g006
Figure 7. Values of contacted CD for advanced device.
Figure 7. Values of contacted CD for advanced device.
Micromachines 10 00293 g007
Figure 8. New challenges in the miniaturization of FinFETs [36].
Figure 8. New challenges in the miniaturization of FinFETs [36].
Micromachines 10 00293 g008
Figure 9. Ge contents in S/D for different technology nodes.
Figure 9. Ge contents in S/D for different technology nodes.
Micromachines 10 00293 g009
Figure 10. (a) Si fin covered with SiO2 (b) removal of Si in the fin after wet-etch by TMAH and (c) HCl vapor etch [61].
Figure 10. (a) Si fin covered with SiO2 (b) removal of Si in the fin after wet-etch by TMAH and (c) HCl vapor etch [61].
Micromachines 10 00293 g010
Figure 11. Cross-section TEM of strained Ge-cap/ SRB Si0.3Ge0.7 grown in an oxide trench and observed at (a) fin cut and (b) along the trench. The Si was removed by the wet etch prior to epitaxy [62].
Figure 11. Cross-section TEM of strained Ge-cap/ SRB Si0.3Ge0.7 grown in an oxide trench and observed at (a) fin cut and (b) along the trench. The Si was removed by the wet etch prior to epitaxy [62].
Micromachines 10 00293 g011
Figure 12. HRSEM of a multilayer of the SiGe/Si structure with eight periods [66].
Figure 12. HRSEM of a multilayer of the SiGe/Si structure with eight periods [66].
Micromachines 10 00293 g012
Figure 13. Schematic of the monolayer doping process [70].
Figure 13. Schematic of the monolayer doping process [70].
Micromachines 10 00293 g013
Figure 14. Cross-sectional SEM images of W films grown in different conditions: (a) on blank wafers and (b) trenches filling capacity [104].
Figure 14. Cross-sectional SEM images of W films grown in different conditions: (a) on blank wafers and (b) trenches filling capacity [104].
Micromachines 10 00293 g014
Figure 15. XRD spectra of ALD W using SiH4 and B2H6 and calculated stress data on a blank substrate [98].
Figure 15. XRD spectra of ALD W using SiH4 and B2H6 and calculated stress data on a blank substrate [98].
Micromachines 10 00293 g015
Figure 16. (a) Electron effective mobility in NFET and (b) TEM images Ω-Gate CMOS NW transistors for N-FET [108].
Figure 16. (a) Electron effective mobility in NFET and (b) TEM images Ω-Gate CMOS NW transistors for N-FET [108].
Micromachines 10 00293 g016
Figure 17. Tensile stress change with a UV cure.
Figure 17. Tensile stress change with a UV cure.
Micromachines 10 00293 g017
Figure 18. (a) Schematic picture of a stack of Si0.75Ge0.25/Si NW used for selective etch and TEM across a section of 30-nm wide Si-Si0.75Ge0.25 NWs after Si selectively etched (b) in TMAH 5% and (c) without the oxide-nitride HM [127].
Figure 18. (a) Schematic picture of a stack of Si0.75Ge0.25/Si NW used for selective etch and TEM across a section of 30-nm wide Si-Si0.75Ge0.25 NWs after Si selectively etched (b) in TMAH 5% and (c) without the oxide-nitride HM [127].
Micromachines 10 00293 g018
Figure 19. (a) Pictorial description of the Si0.5Ge0.5/Ge NW stacks used for the selective etch test and SEM images of Si0.5Ge0.5-Ge NWs after selective etch for 10 seconds in TMAH with a concentration of (b) 15% and (c) 25% for 45 and 55 nm wide fins [127].
Figure 19. (a) Pictorial description of the Si0.5Ge0.5/Ge NW stacks used for the selective etch test and SEM images of Si0.5Ge0.5-Ge NWs after selective etch for 10 seconds in TMAH with a concentration of (b) 15% and (c) 25% for 45 and 55 nm wide fins [127].
Micromachines 10 00293 g019
Figure 20. Integration of Co selective growth [148].
Figure 20. Integration of Co selective growth [148].
Micromachines 10 00293 g020
Figure 21. Damascence line resistance vs. the total conductor area of Ru, Co, and Cu NWs [142].
Figure 21. Damascence line resistance vs. the total conductor area of Ru, Co, and Cu NWs [142].
Micromachines 10 00293 g021
Figure 22. 22-nm half-pitch Co lines/ALD TiN liner compared to Cu [139].
Figure 22. 22-nm half-pitch Co lines/ALD TiN liner compared to Cu [139].
Micromachines 10 00293 g022
Figure 23. Performance of Co and Cu EM with 1-nm ALD TiN liner [139].
Figure 23. Performance of Co and Cu EM with 1-nm ALD TiN liner [139].
Micromachines 10 00293 g023
Figure 24. EM lifetime distributions for the Co and Cu alloy [157].
Figure 24. EM lifetime distributions for the Co and Cu alloy [157].
Micromachines 10 00293 g024
Figure 25. (a) Schematic image of the band alignment of the Ge and Si channel gate stacks during NBTI stress. The Ge/Si valence band offset (Si-passivated Ge FETs) causes the inversion layer to energetically move away from the oxide traps [161] and (b) defect energy profiles after filling at low and high Vgch vs. Vgdisch-ΔVth. The insets illustrate the charging mechanisms for two different types of electron traps [162].
Figure 25. (a) Schematic image of the band alignment of the Ge and Si channel gate stacks during NBTI stress. The Ge/Si valence band offset (Si-passivated Ge FETs) causes the inversion layer to energetically move away from the oxide traps [161] and (b) defect energy profiles after filling at low and high Vgch vs. Vgdisch-ΔVth. The insets illustrate the charging mechanisms for two different types of electron traps [162].
Micromachines 10 00293 g025
Figure 26. (a) NBTI VT shift vs. Eox after 1000 s for all effective La doses in PMOS. La addition causes enhancement of NBTI VT degradation and (b) PBTI VT shift vs. Eox after 1000 s for all La doses for NMOS. La addition causes reduction of PBTI VT degradation [168].
Figure 26. (a) NBTI VT shift vs. Eox after 1000 s for all effective La doses in PMOS. La addition causes enhancement of NBTI VT degradation and (b) PBTI VT shift vs. Eox after 1000 s for all La doses for NMOS. La addition causes reduction of PBTI VT degradation [168].
Micromachines 10 00293 g026
Figure 27. (a) CMOS flow and schematics for NFET with SIGMA/W stack and PFET with TiN/W stack and (b) PBTI improvement mechanism for the SIGMA stack [174].
Figure 27. (a) CMOS flow and schematics for NFET with SIGMA/W stack and PFET with TiN/W stack and (b) PBTI improvement mechanism for the SIGMA stack [174].
Micromachines 10 00293 g027
Figure 28. Peak temperature rise in nano-sheet FETs with increased width of nano-sheets (Wsh) [179]. Hsh stands for height or thickness of nano-sheets.
Figure 28. Peak temperature rise in nano-sheet FETs with increased width of nano-sheets (Wsh) [179]. Hsh stands for height or thickness of nano-sheets.
Micromachines 10 00293 g028
Figure 29. Illustration of the complex RTN induced by a single trap with an additional one or two metastable states, named as MS-cRTN [181].
Figure 29. Illustration of the complex RTN induced by a single trap with an additional one or two metastable states, named as MS-cRTN [181].
Micromachines 10 00293 g029
Figure 30. TEM micrographs of completed devices. (a) Devices across one gate pattern. (b) 9.5 nm-wide channel obtained from 2 cycles of WHALE* where 1 nm conformal interfacial layer was grown by ALD before HfO2 deposition. (c) 7 nm-wide channel obtained from 5 cycles of WHALE* with the same gate stack as shown in (b) are used, and (d) along the trench showing Lg of 36 nm [186]. * WHALE stands for Wet HCl-based Atomic Layer Etch.
Figure 30. TEM micrographs of completed devices. (a) Devices across one gate pattern. (b) 9.5 nm-wide channel obtained from 2 cycles of WHALE* where 1 nm conformal interfacial layer was grown by ALD before HfO2 deposition. (c) 7 nm-wide channel obtained from 5 cycles of WHALE* with the same gate stack as shown in (b) are used, and (d) along the trench showing Lg of 36 nm [186]. * WHALE stands for Wet HCl-based Atomic Layer Etch.
Micromachines 10 00293 g030
Figure 31. Cross-section images of the self-aligned InGaAs-OI FinFET architecture where (a) the scaled HKMG deposited on a 15-nm-wide fin using a highly conformal and uniform PEALD* process [192], and (b) shows CS STEM images across the gate showing the InGaAs FinFET with Lg = 13 nm [194]. * plasma-enhanced atomic layer deposition.
Figure 31. Cross-section images of the self-aligned InGaAs-OI FinFET architecture where (a) the scaled HKMG deposited on a 15-nm-wide fin using a highly conformal and uniform PEALD* process [192], and (b) shows CS STEM images across the gate showing the InGaAs FinFET with Lg = 13 nm [194]. * plasma-enhanced atomic layer deposition.
Micromachines 10 00293 g031
Figure 32. Drawing of different 2D materials [205].
Figure 32. Drawing of different 2D materials [205].
Micromachines 10 00293 g032
Figure 33. (a) Schematic of fabrication, a WSe2/MoS2 hetero-structure dual-channel FET. (b) Optical picture of a processed transistor. The dashed line shows the bottom MoS2 layer, (c) schematic of electron and hole transport in one channel of dual-channel FET, and (d) band diagram WSe2-Pt metal (top) and MoS2-Ti. The symbol ϕb in the picture stands for the barrier for hole and electrons [206].
Figure 33. (a) Schematic of fabrication, a WSe2/MoS2 hetero-structure dual-channel FET. (b) Optical picture of a processed transistor. The dashed line shows the bottom MoS2 layer, (c) schematic of electron and hole transport in one channel of dual-channel FET, and (d) band diagram WSe2-Pt metal (top) and MoS2-Ti. The symbol ϕb in the picture stands for the barrier for hole and electrons [206].
Micromachines 10 00293 g033
Figure 34. (a) and (b) Electronic band structures of 2H and 1T’ MoS2 and their atomic structures. The 2H band structure shows a bandgap of approximately 1.8 eV, while the conduction and valance bands of 1T’ MoS2 overlap. Therefore, 1T’ MoS2 has metallic gapless characteristics. (c) Transfer characteristics of three MoS2 FETs with different thicknesses of MoS2 before and after phase transition treatment. The intrinsic 2H MoS2 FETs show strong semiconducting behavior with large gate modulation, while the phase transition shows constant current with almost no gate modulation featuring, and (d) PL (photoluminescence)spectra of the monolayer 2H and 1T’ MoS2. The 2H phase shows a strong PL peak at 1.85 eV generated by its bandgap, while the PL of the 1T’ phase is completely quenched due to its gapless metallic characteristics [207].
Figure 34. (a) and (b) Electronic band structures of 2H and 1T’ MoS2 and their atomic structures. The 2H band structure shows a bandgap of approximately 1.8 eV, while the conduction and valance bands of 1T’ MoS2 overlap. Therefore, 1T’ MoS2 has metallic gapless characteristics. (c) Transfer characteristics of three MoS2 FETs with different thicknesses of MoS2 before and after phase transition treatment. The intrinsic 2H MoS2 FETs show strong semiconducting behavior with large gate modulation, while the phase transition shows constant current with almost no gate modulation featuring, and (d) PL (photoluminescence)spectra of the monolayer 2H and 1T’ MoS2. The 2H phase shows a strong PL peak at 1.85 eV generated by its bandgap, while the PL of the 1T’ phase is completely quenched due to its gapless metallic characteristics [207].
Micromachines 10 00293 g034
Figure 35. (a) Schematic of advanced 2D stacks and (b) characteristic curves of the transistor.
Figure 35. (a) Schematic of advanced 2D stacks and (b) characteristic curves of the transistor.
Micromachines 10 00293 g035
Figure 36. 3D APT volume based on the standard reconstruction algorithm after density correction of GAA (a) and tri-gate (b) silicon nanowire transistor [229].
Figure 36. 3D APT volume based on the standard reconstruction algorithm after density correction of GAA (a) and tri-gate (b) silicon nanowire transistor [229].
Micromachines 10 00293 g036
Figure 37. RSM and fits to the scattering profile of samples [250].
Figure 37. RSM and fits to the scattering profile of samples [250].
Micromachines 10 00293 g037
Figure 38. Geometry of GISAXS experiments [252].
Figure 38. Geometry of GISAXS experiments [252].
Micromachines 10 00293 g038
Table 1. Material selection of high-k dielectric and metal gate from 45-nm to 5-nm nodes.
Table 1. Material selection of high-k dielectric and metal gate from 45-nm to 5-nm nodes.
Technology NodesFilm Thickness (nm)
Thermal OxideHigh-kTiAl(N)TiN
45 nm~1.2~1.5~2~2.1
32 nm~1.2~1.1~1.7~2
22 nm~1.1~1.0~1.2~1.4
14 nm~0.6~1.2~1.2~1.4
5 nm~0.5~1.0~1.0~1.2
Table 2. The effective work function of different metals grown by ALD for NMOSFET.
Table 2. The effective work function of different metals grown by ALD for NMOSFET.
MetalDep. MethodEffective Work FunctionRef.
TaCyPEALD4.77–4.54 eV[84]
TaCNPEALD4.37 eV[85]
TiCPEALD5.24 eV–4.45 eV[86]
WC0.4PEALD4.2+/-0.1 eV[87]
ErC2ALD3.9 eV[88]
TiAlCthermal ALD4.79–4.49[90]
TiAlCthermal ALD4.46–4.24[91]
TaAlCthermal ALD4.74–4.49[92]
TaAlCthermal ALD4.65–4.26[93]
Table 3. Summary of all MOL Metallization Options [158].
Table 3. Summary of all MOL Metallization Options [158].
No.Process NameMaterialNormalized Total Resistance
S/D Contact LevelMOL LI Level
1By ScalingTi/TiN WTi/TiN W1
2Process ATi/TiN WCo0.85
3Process BTi/TiN WLiner free W0.7
4Process CLiner free WCo0.55
5Process DLiner free WRu0.55

Share and Cite

MDPI and ACS Style

Radamson, H.H.; He, X.; Zhang, Q.; Liu, J.; Cui, H.; Xiang, J.; Kong, Z.; Xiong, W.; Li, J.; Gao, J.; et al. Miniaturization of CMOS. Micromachines 2019, 10, 293. https://doi.org/10.3390/mi10050293

AMA Style

Radamson HH, He X, Zhang Q, Liu J, Cui H, Xiang J, Kong Z, Xiong W, Li J, Gao J, et al. Miniaturization of CMOS. Micromachines. 2019; 10(5):293. https://doi.org/10.3390/mi10050293

Chicago/Turabian Style

Radamson, Henry H., Xiaobin He, Qingzhu Zhang, Jinbiao Liu, Hushan Cui, Jinjuan Xiang, Zhenzhen Kong, Wenjuan Xiong, Junjie Li, Jianfeng Gao, and et al. 2019. "Miniaturization of CMOS" Micromachines 10, no. 5: 293. https://doi.org/10.3390/mi10050293

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop