Next Article in Journal
Microfluidic-Based Multi-Organ Platforms for Drug Discovery
Previous Article in Journal
Resonance Spectrum Characteristics of Effective Electromechanical Coupling Coefficient of High-Overtone Bulk Acoustic Resonator
Previous Article in Special Issue
Characterization of a Laterally Oscillating Microresonator Operating in the Nonlinear Region
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Micromachined Resonators: A Review

1
Dynamic Microsystems Lab, Department of Electrical and Computer Engineering, University of Central Florida, Orlando, FL 32816, USA
2
School of Mechatronic Systems Engineering, Simon Fraser University, Surrey, BC V3T 0A3, Canada
3
State Key Laboratory of Millimeter Waves, City University of Hong Kong, Kowloon, Hong Kong, China
4
Department of Electrical Engineering, École de Technologie Supeérieure, Montreal, QC H3C 1K3, Canada
*
Author to whom correspondence should be addressed.
Micromachines 2016, 7(9), 160; https://doi.org/10.3390/mi7090160
Submission received: 2 June 2016 / Revised: 24 July 2016 / Accepted: 25 July 2016 / Published: 8 September 2016
(This article belongs to the Special Issue Microresonators)

Abstract

:
This paper is a review of the remarkable progress that has been made during the past few decades in design, modeling, and fabrication of micromachined resonators. Although micro-resonators have come a long way since their early days of development, they are yet to fulfill the rightful vision of their pervasive use across a wide variety of applications. This is partially due to the complexities associated with the physics that limit their performance, the intricacies involved in the processes that are used in their manufacturing, and the trade-offs in using different transduction mechanisms for their implementation. This work is intended to offer a brief introduction to all such details with references to the most influential contributions in the field for those interested in a deeper understanding of the material.

Graphical Abstract

1. Introduction

Microelectromechanical systems (MEMS) are a disruptive technology, much like lasers or integrated circuits. As such, MEMS have an overarching applicability and impact in several sectors such as telecommunications, consumer electronics, transportation, building automation and healthcare. The MEMS market is expected to sustain continued growth made possible by many technological revolutions fueled by, among others, the Internet of Things and wearable electronics, and it is expanding at an increasing rate, projected to almost double from $11B in 2014 to $21B in 2020 with MEMS resonator representing a growing market share [1].
The concept of MEMS resonators, mechanically resonating micro-structures that are electrically brought into resonance, along with some of their advantages and applications were introduced in their early form in the 1960s [2]. Today, amidst the widespread use of MEMS, MEMS resonators are generating significant research and commercial interest, and are poised to capture a significant portion of the MEMS market because of their numerous large volume and high impact applications. These include sensing applications, where changes in a resonant element are used to monitor a given quantity [3], timing applications, where a resonant element is used within an electronic system to generate a high quality clock signal [4], or in filtering applications, where resonant structures implement filters that can be of use in radiofrequency wireless transceivers [5]. MEMS resonators are expected to become prevalent in these applications because they are well-suited to low-cost batch fabrication, being manufactured with fabrication techniques similar to those widespread in integrated circuit manufacturing. Moreover, unlike other resonant elements such as quartz crystals, MEMS resonators have the potential for higher levels of integration with microelectronics at the die or package level [6]. These advantages can lead to reduced cost and form-factor systems that can have enhanced performance and more functionality. However, before MEMS resonators can completely replace other types of resonant elements, some challenges remain such as material limitations, temperature stability, packaging or batch integration with electronics.
MEMS resonators have been the subject of several reviews that covered various aspects of the field from the devices themselves to their various applications, e.g., [7,8,9,10,11,12]. This paper is aimed at surveying a wide range of topics and prior work related to MEMS resonators in order to provide readers with a better understanding of their operation and to give an overview of their evolution over the last thirty years towards achieving their foreseen potential, among which their penetration of the aforementioned applications. Specifically, the paper first details the operating principles of MEMS resonators, covering modeling, properties, resonance modes, damping mechanisms and transduction mechanisms. It then discusses techniques and challenges behind the manufacturing of MEMS resonators, touching on materials and processes that have been used in their fabrication, including their fabrication using complementary metal oxide semiconductor (CMOS) processes. Current and emerging applications of MEMS resonators, namely their use in timing, sensing and radio-frequency systems are then described. This includes an overview of the operating principles, performance metrics, design considerations and latest developments in MEMS resonator-based oscillators, a key MEMS resonator-based block suitable for sensing and timing applications. Where appropriate, the paper surveys the latest research developments and directions pertaining to MEMS resonators and discusses them. In addition, it provides information on the design and the use of MEMS resonators standalone and within systems.

2. Basic Model and Properties

In a vibrating mechanical system, the kinetic and potential energies are continuously converted to each other. Most systems exhibit a frequency dependent response where this transfer of energy is optimum at certain frequencies (i.e., losses are minimum), known as the resonant frequencies of the system. For low enough damping, the system response shows peaks at these particular frequencies. Additionally, each resonant frequency corresponds to a particular pattern of motion for the components of the mechanical system which is known as a mode shape. To exhibit resonance, a mechanical system must possess the capacity to store both kinetic and potential energies. Therefore, the basic resonator structure is a mass-spring system. In physical systems, additionally, there are always energy loss mechanisms. A simple mode for mechanical losses is a damper. This combination of mass-damper-spring system represents the simplest model for a resonator, as shown in Figure 1. Using Newton’s laws of motion, the relationship between the displacements of the mass and input force can be found from:
M e f f 2 x t 2 + ζ e f f x t + K e f f x = F i n
where F i n is the input force, M e f f is the effective mass of the system, K e f f is the effective stiffness, and ζ e f f represents the effective total losses in the system. The system transfer function is given by
H ( s ) = X ( s ) F i n ( s ) = 1 M e f f s 2 + ζ e f f s + K e f f = 1 K e f f ( ω 0 2 s 2 + ω 0 Q s + ω 0 2 )
where s is the complex frequency, ω 0 is the undamped resonant frequency of the system (i.e., natural frequency) and Q is the quality factor. For a second order system, the undamped resonant frequency is:
ω 0 = 2 π f 0 = K e f f M e f f
The quality factor is defined as:
Q = 2 π Average energy stored Energy lost per cycle
Parameters ω 0 (or f 0 ) and Q are the two significant performance metrics in the microresonator domain. Due to their sizes, the resonant frequencies of microdevices are typically in kHz to MHz range but can be in the GHz range for properly designed devices [13,14,15]. For a device that is intended to be used as a microresonator, Q ranges from thousands to millions depending on the operating conditions and device design [16,17,18]. Many applications benefit from maximizing both the resonant frequency and quality factor of a resonator even though there is some trade-off between the two. Consequently, their product, f 0 · Q , is a common figure of merit stated for resonators [19,20].
The relationship between the resonant frequency, ω r , and the undamped natural frequency, ω 0 , of a second order system is [21]:
ω r = ω 0 1 1 2   Q 2
It can be seen that, for large quality factors, as is the case for most micromachined resonators, ω r ω 0 . By running a single frequency response measurement, one can estimate the resonant frequency of a microresonator by locating the peak in the frequency response while the quality factor (for Q 1 ) can be estimated from:
Q = f 0 Δ f 3 d B = ω 0 2 d H ( ω ) d ω
where Δ f 3 d B is the 3 d B bandwidth around the resonant frequency. In addition to a narrower bandwidth, high- Q systems exhibit a higher peak amplitude at resonance that is Q times the low frequency response (see Figure 2).
While the basic second-order model of the resonator is quite useful to study a device response near its resonant frequency, it is often rather simplistic. Most mechanical systems, even those composed of discrete components, have numerous different mode shapes and corresponding resonant frequencies. If the resonant frequencies are far from each other, the device response can be analyzed using the basic mass-spring-damper method around each resonant frequency. Otherwise, a higher order model needs to be constructed that can potentially include the coupling between different modes. Some continuous mechanical systems can be broken into simpler subsystems, allowing for the treatment of the system as a lumped one. This is particularly helpful when it is possible to estimate concentrated masses and the effective stiffnesses of bodies that connect them to each other within the structure. In many cases, however, the whole system needs to be treated as a distributed mass-spring system. Dynamics of such systems is studied using the acoustic wave propagation models and theories [22].
Distributed systems, in theory, have infinite mode frequencies and shapes. In practice, however, a limited number of these modes need to be studied in a frequency band of interest. The basic spring-mass-damper model can once again be used if one estimates the effective mass and stiffness of the system for the particular mode shape of interest. Rayleigh’s method is a fairly robust and yet simple technique to estimate the effective mass and stiffness of a system once good estimates for the mode shape of the device are available [23,24]. If the losses can be ignored, the resonant frequency of the nth mode of the system can be found from:
ω n 2 = [ x ] [ K ] [ x ] [ x ˙ ] [ ] [ x ˙ ]
where [ K ] and [ ] are the stiffness and mass matrices for the system and [ x ] and [ x ˙ ] are the displacement and velocity vectors for the nth mode shape of interest, respectively.

3. Electric Circuit Representation

In a typical microresonator application, the micromechanical structure is forced into vibrations by converting an input electrical signal into a force and applying it to the device. Vibrations of the structure are then picked up and often converted back to the electrical domain through various transduction techniques. Consequently, from the point of view of interrogating instruments, the device is assumed electrical. On the other hand, it is common to use the analogy between electrical and mechanical resonators to build an equivalent electrical circuit for a micromachined resonator [21,24,25,26]. Such a model is often built from a set of experimental measurements and then is used in electric circuit simulators. This approach is particularly useful if the resonator needs to be modelled with the drive or sense electronics, allowing for co-simulation of the entire system within the electrical domain. To represent a mechanical device with electrical elements, proper mapping of mechanical to electrical quantities is needed. A common set of mapping rules is summarized in Table 1 [24,25,26].
In most cases, a resonant device is modelled as a series Resistance-Inductance-Capacity ( RLC ) circuit. The transductions from the electrical to mechanical domain and vice versa are modelled with transformers with proper winding ratios or controlled voltage or current sources. Other elements, especially parasitic and feedthrough capacitors, may be added to the equivalent circuit so that the model produces results similar to experimental measurements. Figure 3 illustrates an equivalent electrical model for a microresonator with electrostatic input and output ports. The transformer at the input port converts an input voltage to a force and applies it to the mechanical system represented by the series RLC circuit. At the output, another transformer converts velocities of the mechanical structure back to an electrical current. Similar models can be developed for other transduction mechanisms such as piezoelectric or thermal devices considering the mechanisms involved in converting the electrical signal to a mechanical one and vice versa. In all cases, the electromechanical coupling coefficients, η in and η out , need to be defined according to the employed transduction mechanism. It is common practice to simplify the model further by removing the transformers and scaling the equivalent circuit values accordingly. Note the inclusion of the feedthrough capacitance in the model. This parasitic capacitance in many cases poses a challenge in a proper measurement of resonator response as the feedthrough current that travels through it can be significantly larger than the current produced by the resonator.

Modelling of Nonlinearities

There can be several sources of nonlinearities in micromechanical resonators [27,28,29,30,31,32,33]. Elastic properties of most materials are a function of stresses applied to them. Even brittle materials such as silicon exhibit some stress-dependent behavior under large stresses [34]. On the other hand, internal stresses produced from large displacements can alter the stiffness of the structure, as is the case for the stiffening of beams under large loads. Both of these phenomena affect the dynamic response of the device. In many cases, for instance where electrostatic or thermal actuators are used, the actuation mechanism itself is inherently nonlinear. Even when the actuation mechanism is linearized for small displacements, for example by adding a large DC signal to the AC actuation signal in electrostatic resonators, there can be other sources of nonlinearities. For example, the electrostatic force produced by a voltage applied between two parallel electrodes can be found from:
F e = 1 2 C V 2
where C is the gradient of the capacitance between the two electrodes and V is the voltage applied between them. While the nonlinear dependence on input voltage is apparent, in many cases the gradient term is a function of the separation between the electrodes, and hence can vary nonlinearly as the two electrodes move with respect to each other [29].
A well-known consequence of nonlinearities is a jump phenomenon in the frequency response of the device as the resonant frequency of the device will depend on the signal amplitude. This phenomenon is known as bifurcation. If the device response is studied through frequency sweeps, one will observe different device responses for upward or downward sweeps. For nonlinearities that increase the stiffness of the structure, the resonant frequency shifts up while those which soften the structure (e.g., electrostatic nonlinearities), the resonant frequency moves towards lower frequencies [35]. Nonlinearities in resonators has been studied extensively for macro- and micro-scale device [21,29,36,37]. The resonator nonlinearities are modelled by adding higher order terms to the effective spring constant of the structure and solving the resulting nonlinear equation of motions. Perturbation analysis is often employed to analyze the behavior of the systems with small nonlinearities. It has been shown that the total nonlinear behavior of the system can be modified by taking advantage of the different, and sometimes opposite, interactions between different mechanisms of nonlinearity [38,39].

4. Resonance Modes

4.1. Flexural Modes

Flexural mode vibrations are characterized by bending of the structure along its length (l) such that the motion in the transverse direction perpendicular to the length. Flexural modes can be excited in both beam and plate structures. In the case of beams, the motion could be within the plane of fabrication (i.e., along its width w ) or out of plane (i.e., along its thickness t ). For beam structures, the vibration mode shape is determined by the boundary conditions applied to the structure. Various examples of flexural mode shapes are illustrated in Figure 4 along with the corresponding boundary conditions applied to the beam. The resonant frequency of a given mode for a beam resonator of length L and thickness t vibrating out of plane can be generalized according to the following formula:
f = β ( t L 2 ) E ρ
where β is a dimensionless coefficient that is determined by the shape of the vibration mode, which in turn is depends on the respective boundary conditions applied to the structure. Equation (9) assumes that the beam is fabricated with one material whereby E denotes the Young’s modulus and ρ is the density. As can be seen from Equation (9), the resonant frequency is independent of the beam width when it is vibrating in the thickness direction. Figure 4 summarizes the most common flexural modes based on beam structures, classified according to the boundary conditions applied. The corresponding values of β have been referenced from [40].
As depicted in Figure 4a, a cantilever such as the ones reported in [41,42,43,44,45] is defined by a beam that is clamped at one end and free on the other such that the maximum deflection takes place at the tip of the beam furthest away from the clamped end. As illustrated in Figure 4b the clamped-clamped or doubly-clamped beam such as the ones reported in [46,47,48,49] is defined by both ends of the structure clamped such that the maximum deflection takes place at mid-length. Both cantilever and clamped-clamped beams are commonly adopted structures for mass sensing applications due to their structural simplicity and potential for realizing small proof masses [50,51]. Finally, as shown in Figure 4c it is also possible to realize a flexural mode free-free beam whereby both ends are free [52]. The beam here is clamped at two positions along the length where the deflection is zero [18].
Figure 5 illustrates the fundamental modes observed in membrane structures, most of which are square or circular. The edges of the membranes are clamped and the maximum deflection occurs at the center of the membrane. The resonant frequencies of plate structures follow the form of the Equation (9). Membrane resonators are commonly used to implement micromachined ultrasonic transducers (MUTs) [53,54].

4.2. Bulk Modes

In contrast to flexural mode resonators, bulk mode resonators are characterized by deformation of the structure through planar expansions or contractions rather than bending. In terms of geometrical dependence, the resonant frequencies of bulk modes only depend on the lateral physical dimensions of the structure (e.g., width or length). In other words, the lateral features of the structure alone determine the acoustic wavelength (λ) of the vibration mode. As such, the resonant frequencies of bulk mode resonators can be generalized by the following form:
f b u l k = β λ E b u l k ρ
where Ebulk is the effective modulus of the plate structure defined for a given axis of motion. Bulk modes resonators have been reported for beams [55,56,57], rectangular plates [58,59], square plates [60,61], and circular disks [62,63]. In the case of bars/beams, Ebulk simplifies to the Young’s modulus. In comparison to flexural mode resonators, bulk mode resonators are much stiffer for the same physical dimension scales. This in turn translates to higher frequencies for the same physical dimensions. As such, bulk mode resonators are favored over flexural mode resonators for higher frequency applications owing to their more efficient frequency-to-size scaling characteristic. The mode shapes of various examples of bulk mode resonators reported in the literature are illustrated in Figure 6. In the case of bulk modes, the standing waves in the solid structures are longitudinal waves. It can also be seen that every part of the structure undergoes either compression or expansion apart from the center. The center of the structure is the most obvious choice to clamp the structure wherever possible from the viewpoint of the fabrication from the perspective of minimizing losses to the supports. It should be noted that the lateral bulk modes described in Figure 3 can each be excited at higher order modes of vibration. This is rather commonly the case for the length-extensional (LE) and width-extensional (WE) modes. As an example, the 5th order mode of the WE mode of vibration is illustrated in Figure 7, which can be described as having 5 nodal lines. Higher order modes are particularly common in the case of piezoelectric resonators [64]. Lateral bulk modes of resonance, particularly when applied to piezoelectric resonators, are referred to as contour modes [65] wherein the acoustic radiation patterns are viewed as contours in the plane of fabrication. This is in contrast to thickness vibration modes that are typically viewed by devices such as the film bulk acoustic resonator (FBAR) [66].

4.3. Shear Modes

Shear mode resonators are similar to bulk mode resonators in that their acoustic wavelength is also determined only by the lateral features of the structure. However, in contrast to bulk modes, shear modes are defined by shear waves instead of longitudinal waves. As such, their stiffness constants are defined by the shear modulus of the structural material rather than the Young’s modulus. Therefore, the resonator frequency of lateral shear modes is given by the shear modulus G, instead of the Young’s modulus:
f s h e a r = β λ G ρ
This feature of being defined by shear is evident when one considers the mode shapes. In square plates, shear modes that have been observed include the Lamé [67,68,69] and face shear (FS) [70] modes, which are depicted in Figure 8a,b respectively. In both cases, the direction of motion is always equal and opposite between two orthogonal axes within the plane of fabrication. In other words, while the structure is defined by expansion in one axis, it is simultaneously defined by contraction in the orthogonal axis. In every part of the plate, the in-plane strain components are equal and opposite, thereby cancelling each other out when summed up. As such, the volumetric change is theoretically zero everywhere across the square plate. This isochoric property leads to the interesting feature of lateral shear modes having theoretically no thermoelastic damping (TED). TED arises from irreversible heat flow between regions of expansion and contraction, and since there is no volume change during the operation of the resonator TED is thus zero in principle. Low TED allows for resonators with high quality factors in the millions. Consequently, adding holes in the structure for the purpose of fabrication breaks the isochoric property and introduces TED, resulting in a substantial drop in quality factor [71,72]. The shear wave appears 45° to the primary axis of deformation. In either case of the Lamé and FS modes, nodes appear at specific points along the edges of the square plate, where the structure can be conveniently clamped to minimize support losses where the displacement is zero but there is some rotation. For elastically anisotropic materials like single-crystal silicon, the relevant shear modulus is given by the axis of the shear wave.
Shear modes have also been realized in circular disks as shown in Figure 9, from which we can see once again that the motion in one axis is equal and opposite to the orthogonal axis. This is commonly referred to as the wine glass mode [73,74]. Given the symmetry of the structure, the same elliptical mode shape can occur in two axes 45° apart. In isotropic solids, the two modes share the same frequency, which is known as mode degeneracy. In anisotropic solids, the two modes occur at slightly different frequencies [75].

4.4. Torsional Modes

Torsional mode resonators are most typically found in the form of paddle resonators, which comprise a plate that is supported on two opposite ends by beams. The paddle resonator oscillates by means of rotating about the axis along which the supporting beams lie as illustrated in Figure 10. The supporting beams are clamped at the ends and experience a twisting motion as the plate oscillates about the axis of rotation. The beams undergoing torsion thus form the spring of the resonator and thereby define the spring constant while the rotating plate approximates to a rigid body that defines the proof mass of the resonator [76]. Torsional mode paddle resonators have been applied to sensing applications that include electrometers [77] and magnetometers [78].

4.5. Coupled Resonators

The above examples of this section have included only single resonators so far. Single resonators can in turn be mechanically coupled to realize an array of identical resonators. Assuming the same vibration mode for each resonator, the number of modes possible in an array increases with the size of the array. If the resonators are coupled to each other strongly, the frequency separation between the modes gets widened. This approach is particularly favorable for the purpose of increasing the output signal strength of MEMS resonators by creating arrays of the same resonator, synchronized to vibrate at the same frequency [79]. This is particularly useful in lowering the insertion loss of filters [80,81] as well as reducing the phase noise of MEMS oscillators [82,83]. Strong mechanical coupling has been demonstrated using coupling structures with lengths that are multiples of the acoustic half-wavelength (i.e., n λ 2 , where n = 1, 2, 3,…) [84,85]. An illustration of an array of square plate resonators mechanically coupled together for synchronized oscillation is provided in Figure 11. Note that all the resonators in the array are vibrating in the Lamé mode and the phase between the resonators are the same [85]. While strong coupling pushes the modes apart, weak coupling results in closely separate modes, such as in defining a narrow passband in filters [86]. Weak mechanical coupling is similarly achieved by using coupling structures with lengths that are odd multiples of a quarter of the acoustic wavelength (i.e., 2 n 1 4 λ , where n = 1, 2, 3,…) [80]. Alternatively, the electrostatic spring tuning effect that arises from the nonlinearity in a capacitive gap transducer can be used to realize a weak spring that is a function of voltage across the transducer. This electrostatic spring is used as the mechanical coupling element between the resonators [87]. Tuning the voltage across the transducer changes the coupling spring, which in turn tunes the separation of the passband [88]. Weakly coupled resonators are particularly interesting for sensing applications through exploiting mode localization, which involves manipulation of energy between two coupled modes [89]. This approach has been found to be beneficial for enhancing sensitivity by a few orders of magnitude [90] in comparison to conventional resonant sensing that depends on the perturbation of frequency while at the same time rejecting common-mode effects [91].

4.6. Other Modes

Based upon the WE mode, modifications to the geometry of the resonator have been made with the aim of concentrating the acoustic energy towards the center of the bulk mode resonator in order to reduce the energy distributed at the clamped ends of the resonator. Reducing the energy at the clamped ends of the resonator ultimately reduces leakage of energy to the substrate, thereby improving the quality factor of the resonator. Modifications with the aim of acoustic engineering include curving of the free edges of the resonator [92] or introducing steps [93].

5. Damping

A portion of the elastic energy stored in an electromechanical resonant system could escape the system in the form of acoustic or electromagnetic waves (acoustic phonons or photons) or irreversibly transform to heat (thermal phonons) within the structure. In this chapter we briefly describe the major mechanisms for such energy loss (i.e., damping) processes. Given the energy loss through all damping mechanisms is known, the overall quality factor of a resonator can be found by summing up the dissipated energies [94]:
Q t o t a l = ( 1 Q i ) 1
where Q i corresponds to damping from each potential loss mechanism.

5.1. Viscous Losses

Anytime a resonator operates inside a fluidic (gaseous or liquid) medium the resonator boundaries/surfaces continuously push against the surrounding molecules and transfer a portion of the resonator kinetic energy to the surrounding. This mode of energy loss is known as viscous loss or more particularly air damping (when the resonator operates in air). Viscous losses are a dominant source of loss in micromachined resonators as the surface to volume ratios become significantly larger at micro-scales [95]. The air damping is dependent on a variety of parameters including the resonator dimensions, the distance between the moving body of the resonator and the surrounding fixed surfaces (such as the electrodes in capacitive resonators or package walls), the frequency of operation, the resonance mode (i.e., how surfaces move relative to each other), and the gas pressure surrounding the resonator. Considering such complexity, one characteristic parameter that is commonly used to analyze the air damping is the Knudsen number (Kn). In this context, Kn is defined as the ratio of the fluid molecular mean free path to the separation between the resonator and the fixed surrounding structures. The Kn > 1 range is of practical significance as the majority of vacuum packaged resonators operate under this condition. In this regime of operation, the interaction of air molecules could be ignored as the collision of the air molecules with the resonator plays a dominant role. There have been several attempts to model the air damping in this regime with reasonable success [96,97,98] but an accurate prediction of the loss appears to be yet out of reach.
In practice, the air damping is often avoided by packaging the resonators in partial vacuum. The Q vs. pressure graphs for micro-resonators typically follow a trend similar to what is shown in Figure 12. As seen in this figure, beyond a certain vacuum level, the effect of pressure (air damping) is negligible and other sources of loss dominate the effective quality factor. This is exactly the range targeted by the manufacturers as the sharp change of Q as a result of the variation in pressure could be detrimental to the performance and should be avoided.

5.2. Anchor Losses

Most resonators have to be suspended through mechanical connection(s) commonly known as anchors that attach the resonator to a supporting frame. At resonance the elastic waves trapped in the resonator can leak through these same connections and propagate to the frame causing loss of energy. This type of loss is often called anchor/support loss (Figure 13). From this definition it is perceived that anchor loss is strongly dependent on the location and the size of the anchor. Analytical prediction of anchor loss is complicated and is accomplished for a limited class of resonator (mainly beams) [99,100]. However, finite element models capable of capturing the anchor loss are developed in recent years and are finding increasing popularity among designers to suppress the anchor loss during the design phase [101,102].
A universal guideline for mitigation of anchor loss is to reduce the cross sectional dimensions of the anchor-to-resonator connection relative to the acoustic wave-length and to align the center of the anchors to the nodal points of the resonance mode where the particle displacement on the resonator body is minimum [18,103]. However, there are limitations in implementation of this guideline due to fabrication imperfections such as misalignment [63] and as the acoustic wavelength reduces beyond the smallest feature sizes practically feasible (for high frequency devices).
An alternative approach explored by researchers is to add features around the resonator that effectively reflect a portion of the radiated elastic energy back to the resonator. Such acoustic reflectors could simply be trenches etched into the substrate [104,105] or phononic crystal structures that are tuned to block a narrow band centered around the frequency trapped in the resonant cavity [106,107]. Phononic crystals can also be embedded in the design of the suspension tether [108,109].

5.3. Material Losses

Both viscous and anchor losses share the same characteristic in that the resonator elastic energy leaves the resonator for both mechanisms. In contrast there are a variety of mechanisms through which the elastic energy irreversibly turns into heat within the body of the resonator; hence categorized as material losses. The most fundamental and general approach to understanding such losses is through a quantum mechanical view. In quantum mechanical terms, the quanta of vibration energy are called phonons. Based on this definition, the elastic energy stored in a resonator is carried by phonons (elastic phonons). Similarly, heat energy, which is basically the random vibrations of particles, is embodied by phonons as well (thermal phonons). With this brief introduction, it can be envisioned that elastic phonons interact with other quantum mechanical particles inside the resonators including thermal phonons and electrons through a scattering process [110]. The end result of such intercalations is transformation of elastic energy to heat within the resonant body. The loss associated with the phonon-electron interaction is understandably not significant in dielectric and lightly-doped semiconducting material which are commonly used as the bulk of the micromachined resonant body.
In all resonators, depending on the relative values of the mean phonon scattering time ( τ s ), elastic vibration period ( τ v ), and the thermal transport time constant ( τ th ) a certain phonon-phonon interaction process could become dominant [111]. In flexural resonance modes of beams when τv τ th (i.e., the average time it takes for phonons to transport between the local hot and cold spots is equal to the vibration period), elastic phonons efficiently interact with thermal phonons through a diffusion process. This process is classically described as thermoelastic damping (TED) [112]. TED in bulk mode resonators is insignificant and is practically absent in shear mode devices. A great body of effort exists on analytical and numerical modeling of TED [112,113,114,115,116]. Researchers have also attempted to reduce the effect of TED by engineering τ th to be as far from τ v as possible [117,118].
Apart from TED, in all resonators the periodic change of atomic spatial arrangement will disturb the equilibrium phonon distribution and as long as τ s < τ v it results in a redistribution of phonons through phonon-phonon scattering. This process is known as Akheiser loss and sets a fundamental limit on the quality factor that could be achieved in a resonator depending on the material chosen for the resonator [110]. This loss is proportional to vibration frequency and becomes more significant at high frequencies ( f > 100   MHz for most relevant acoustic material). It should be noted that Akheiser loss is no longer effective for τ s > τ v (very high frequencies) and the limit of quality factor could be relaxed at such frequencies [119]. This new regime of high frequency loss was first described by Landau and Rumer and the onset of this loss process (i.e., τ s = τ v ) could greatly vary between different materials (Figure 14).

5.4. Other Damping Sources

There are several other pathways for the elastic energy to turn into heat including (but not limited to): ohmic losses due to electrical currents passing through resistive paths, dielectric losses [120] due to established electric fields across dielectric films, and surface losses [121] due to non-idealities associated with surface roughness and contaminations and electromagnetic radiation losses due to variation of electric fields. Ohmic and dielectric losses are relatively straight forward to predict and manage, however, the physics of surface losses are rather complicated. Regardless of such complexities it is been practically shown that the surface losses could be minimized through vacuum annealing and avoiding large surface to volume ratios [122].

6. Transduction Mechanisms

In most applications, micromachined resonators are interfaced with electronic circuits. Therefore, the mechanical vibration in the resonator should be excited and sensed by an electrical signal (i.e., change in a voltage or a current). The choice of mechanism through which the electrical energy is reciprocally converted to elastic energy (i.e., mechanical vibration) plays a critical role in the overall performance of a product that contains the resonator. Factors associated with the transduction mechanism such as efficiency of the energy conversion (i.e., coupling coefficient), implementation simplicity, and power consumption should be carefully considered and analyzed. In this chapter the most commonly used transduction mechanisms are briefly discussed and their main properties are highlighted.

6.1. Capacitive

A voltage applied between two conducting plates separated by an insulating medium generates a force that could move the plates given one is free to move. Reversely, change of capacitance as a result of movement induces an electrical current given a constant voltage is applied to the conducting plates of the capacitor. This is the original mechanism exploited in capacitive resonators. Capacitive transducers are relatively easy to implement as there is no special requirement on the choice of material except for high electrical conductivity of the electrode plates. With this, it is no surprise that the first published micromachined mechanical resonators operated based on capacitive transduction [2] and it continues to be a very common choice for implementation of such resonators.
The essential components in a capacitive beam resonator are schematically shown in Figure 15 for a two-port configuration. The alternating input electrical signal in this diagram is applied through a fixed electrode on one side to excite the mechanical vibration and on the other side the mechanical movement is converted back to an electrical current in a symmetric design. A DC voltage labeled Vp (polarization or bias voltage) is connected to the resonator body to establish the required initial electric field.
As seen in the above schematic diagram, the resonant body usually constitutes one of the electrodes in a capacitive resonator. Therefore, the material used for the resonant body is required to be highly conductive. In the past, doped silicon/polysilicon [13,123] and doped polycrystalline diamond [124] have been the most common choices of material for a capacitive micro-resonator. Silicon and polysilicon are the most natural choice considering that the micro-fabrication industry is mainly developed around processing silicon-based material. Silicon is coincidentally an excellent choice of material for its excellent mechanical properties including, low loss and exceptional mechanical/chemical stability (i.e., negligible change of properties over time). Some of the highest f.Q products measured from MEMS resonators are reported for capacitive resonators as the resonant body could be fabricated from a single material which eliminates any interfacial loss existing in multi-layer resonators [125,126].
It can be shown that the electromechanical coupling factor for a capacitive resonator, defined as the ratio of the output mechanical force over the input electrical voltage, is derived from [94]:
η = V p d C d x
where Vp is the polarization voltage, C is the transducer capacitance, and x is the resonator displacement. From this equation one could conclude a number of basic properties of capacitive transduction. First, it is observed that the electromechanical coupling at microscale is a very small number (e.g., η 10 7 for Vp = 10 V, Capacitive Area = 10−9 m2, Capacitive Gap = 10−6 m, and assuming a parallel plate displacement in vacuum). This implies that capacitive transduction is not inherently an efficient energy coupling mechanism. Secondly, it is observed that the electromechanical coupling could be improved by increasing the polarization voltage and increasing the rate of capacitance change with respect to displacement which is proportional to the capacitive area and inversely proportional to the second power of capacitive gap. Several approaches have been explored by designers to improve the energy coupling. These range from simply increasing the capacitive area [58] or reducing the gap size to extremely small values [127]. Both of these approaches encounter limitations when the frequency of operation is pushed beyond 100’s of MHz as the acoustic wavelength is excessively reduced and so should the resonator’s critical dimensions. The alternative solution for improving the coupling at higher frequency is by coupling a large number of resonators to each other [79]. This approach although very effective adds to the fabrication complexity and may lower the fabrication yield.
A different approach to implement capacitive transduction is to use a solid dielectric to fill the gap between the electrode and the resonant body [128]. This class of resonators is relatively simple to fabricate as the deposition and removal of sacrificial material within the capacitive gaps which is a major source of failure is completely eliminated. Solid dielectric gap resonators have been demonstrated with reasonably high-Q at frequencies well beyond 1 GHz [129]. However, the use of a dielectric material with large permittivity will directly contribute to a large feedthrough capacitance that masks the resonance signal and will complicate the usage of such resonators in applications such as oscillators.

6.2. Piezoelectric

Piezoelectric resonators operate based on the direct conversion of electric polarization to mechanical stress (and vice versa) in a certain class of crystalline materials known as piezoelectric materials [130]. Piezoelectric resonators such as Quartz have been in use for many decades and are still the most prevalent technology in electronic applications. The main attractions of the piezoelectric transduction are the self-generating nature (there is no need for an electrical bias or power consumption) and the relatively large coupling coefficient indicative of efficient reciprocal conversion of electrical and mechanical energy. The main technical difficulty in working with piezoelectric material at micro-scale is their incorporation into mainstream microelectronics fabrication processes.
Single crystalline piezoelectric material such as quartz and lithium niobate cannot be simply grown on a silicon surface in the form of thin functional film. Therefore, alternative deposition techniques for deposition of properly oriented polycrystalline piezoelectric material should have been developed before piezoelectric micromachined resonators could be considered relevant. Moreover, many piezoelectric materials contain metals with high diffusivity or toxicity (e.g., Zinc oxide (ZnO) and Lead Zirconate Titanate or (PZT)) which cannot be tolerated in microfabrication facilities.
Some of the earliest instances of micromachined resonators were fabricated based on RF sputtered ZnO thin-film deposited on silicon substrate [131]. However, ZnO is a chemically-unstable material and resonators fabricated of ZnO have not been successfully commercialized. It was until the development of RF sputtered piezoelectric Aluminium nitride (AlN) [132] that the thin-film piezoelectric material slowly gained acceptance in microfabrication industry. In contrast to ZnO, AlN is a chemically stable material with excellent acoustic properties such as large stiffness and low loss. More importantly aluminum, the only metallic ingredient in AlN, is commonly used for metallization in microelectronics.
Thin-film piezoelectric micro-resonators could be divided into two main categories. The first category is the devices that use the thin-film piezoelectric layer mainly as a transducer to generate/sense the acoustic waves in a second substrate material [131,133,134]. Such devices are sometimes referred to as thin-film piezoelectric-on-substrate (TPoS) resonators (Figure 16) and can significantly benefit from the proper choice of the substrate material to improve certain features of the resonator characteristic such as the quality factor and linearity [135]. In addition to common choices of substrate material such as Silicon, polycrystalline Diamond has been demonstrated to be an excellent choice for high frequency applications [136,137]. The combined high-Q and low motional resistance offered by TPoS devices enabled the demonstration of some of the best oscillator performances achieved from MEMS resonators [138,139]. The trade-off in using a substrate under the piezoelectric layer in a TPoS resonator is the compromised coupling factor.
The second class of micromachined piezoelectric resonators utilizes the piezoelectric film both as the transducer and the acoustic media. This category conceptually include the very mature thickness-mode film bulk acoustic resonator (FBAR) technology [66] as well as the more recently developed contour-mode devices [65,140]. Devices of this category offer low-motional resistances at very high frequencies that are unmatched by any other MEMS resonator technology and are specifically useful for filter applications [141,142]. However, the quality factor of such resonators is inferior to the capacitive resonator and TPoS resonators especially at lower frequencies ( f < 500   MHz ).

6.3. Thermal/Piezoresistive

Unlike capacitive and piezoelectric transducers, there are other transduction mechanisms that could only be used to either excite the vibration or sense the vibration (i.e., one-way transduction). For example, thermal actuators could only be used for excitation of vibration and piezoresistive elements could only be used to sense the change in the resistance as the resonator vibrates. Despite such relative deficiency both thermal and piezoresistive transducers are very attractive for their ease of implementation. All that is required in both cases is a conductive material through which an electrical current is passed to either generate heat (in the case of the thermal actuation) or to measure resistance (in the case of the piezoresistive sensing).
In a thermally-actuated resonator, an alternating current is passed through the resistive heating elements to generate a dynamic heating power. This varying power will result in a dynamic temperature distribution (thermal wave) in the resonant structure which is the source of the desired actuation force. Once the frequency of the thermal wave matches the mechanical resonance frequency of the structure the mechanical vibration is efficiently excited [94]. Thermal actuation is specially desired for applications in which a large force is required for excitation of the vibration in liquid medium [143]. The efficiency of the thermal transduction (force to heat ratio) is dependent on the thermal time constant associated with the structure. Generally speaking, the equivalent model of a heat generator with a heat transfer path can be simplified to an R T H C T H circuit where R T H is the thermal resistance associated with the heat transfer and C TH is the thermal capacitance. In other words, the temperature (i.e., force) generated by an input alternating power reduces for higher frequencies. This fundamental behavior has led to the traditional belief that thermal actuators are “slow” and can only be used for low frequency applications. However, there is a growing body of evidence pointing to the contrary. Based on some recent and original work on this topic, the thermal actuation can be used for very high frequency applications [56]. It could be proved that the thermal time constant for a specific resonant structure scales much faster (it has second order dependency) than the resonant frequency (liner dependency) as the dimensions of the structure reduce [144]. In other words, the temperature of a structure follows the input power much faster (less lag) as the dimensions are scaled down. A fundamental limitation associated with the thermal actuation that continues to impede its spread is the required power consumption to generate considerable vibration amplitude especially at higher frequencies where the structure is stiffer and the amplitude of the alternating temperature is lower for the same input power.
In thermal resonators the mechanical vibration is commonly sensed through piezoresistivity which is the change of resistivity in response to stress. In the most general form the piezoresistivity in material is characterized by a 6 × 6 matrix of piezoresistive coefficients. Semiconductor materials such as doped single crystalline silicon possess exceptionally large piezoresistive coefficients [145] which enables an efficient sensing vehicle. Piezoresistive elements could be formed either by deposition and patterning of a thin film or selectively doping the surface of the silicon substrate [146] separate from the heating resistor, or alternatively be formed from the bulk of silicon [147] (Figure 17). The latter is an attractive approach as the same heating element could be used as the piezoresistive sensing element simplifying the device interface (a two-terminal interface as opposed to four-terminal).
Piezoeresistive sensing has been also coupled with other actuation mechanism such as capacitive to improve the effective electromechanical coupling [148] as piezoresistive coupling can be enhanced through increasing the readout current. Piezoresistivity is also the transduction of choice for extremely small scales [149,150,151] as other transducers lose efficiency while piezoresistivity enhances [152]. Piezoresistivity is also the most compatible transduction with mainstream CMOS fabrication as minimum alteration to the process is required [153].

6.4. Other Transduction Mechanisms

Beyond the most common transduction schemes discussed above, several other energy conversion processes could be utilized for specific applications. For example, electromagnetic Lorentz forces have been successfully utilized to excite vibration in micromachined resonator [154,155]. Optical sensing is another mechanism that is relatively popular amongst researchers for detection of the structural vibrations as the sensing apparatus is completely independent of the resonant structure and can be used for a wide variety of resonators [156].

7. Fabrication

7.1. Narrow Gaps

As was previously described, the electromechanical behavior of a resonator oscillating in the linear regime can be modeled using an inductor-resistor-capacitance (LRC) series resonant circuit representation. It has also been pointed out earlier that the motional resistance scales inversely with the fourth order of the capacitive transducer gap for resonators that are actuated and sensed by capacitive transduction. Given the importance of narrowing the transduction gap in order to reduce motional resistance, methods for fabricating narrow gaps at the scale of sub-microns have been reported for polysilicon-based and silicon-on-insulator-based fabrication processes.
In the case of polysilicon beams that were designed to vibrate out of plane that require a vertical capacitive gap, the gap size is defined by the thickness of a silicon oxide layer typically grown by low pressure chemical vapor deposition (LPCVD) that acts as a sacrificial layer [18,86]. The oxide layer can be made as thin as 130 nm. The thin sacrificial oxide layer is patterned (etched away where the anchoring region are located), followed by deposition of the polysilicon structural layer. After the polysilicon layer is patterned to form the beam structures, the oxide layer is etched away by buffered hydrofluoric acid (HF) to leave behind a thin air gap between the beam and the drive electrode. The process flow for fabricating vertical narrow gaps in a polysilicon-based process is illustrated in Figure 18.
While the process flow described in Figure 18 is suitable for realizing out-of-plane vibrating beams that require vertical capacitive gaps, the process has to be modified to order to realize lateral capacitive gaps for the case of laterally vibrating bulk mode resonators. The modification in the process requires extra steps to define the narrow lateral gap as illustrated in Figure 19. As can be seen from Figure 19, after the polysilicon structure layer that defines the resonator has been patterned, a conformal side wall high temperature oxide (HTO) film coats the whole structure (including side walls) by LPCVD. The thickness of the conformal side wall HTO film defines the gap separation of the lateral electrodes. This is followed by LPCVD low-stress polysilicon to form the side electrodes, which is subsequently patterned to define the structure of the electrodes. The structure is finally released by HF etch, that also removes the side wall HTO to leave behind a narrow air gap as thin as 30 nm [62,63].
Compared to micromachining MEMS resonators with polysilicon, fabricating MEMS resonators based on silicon-on-insulator (SOI) wafers offers the advantage of having thicker structural layers available. The challenge for realizing thick structures in polysilicon lies in keeping the film stress low. In an SOI process, the resonator structure is almost always defined by the silicon device layer, which comes available in a variety of thicknesses even up to 100 µm. In the case of laterally vibrating resonators, thicker structures are desirable for the reducing motional resistance. Narrow gaps are still needed in SOI-based resonators in order to improve electromechanical coupling. In order to realize narrow lateral capacitive gaps in an SOI process, the approach of using conformal side wall oxide to define the gaps needs to be modified. As illustrated in Figure 20, the key difference of fabricating narrow gaps in single-crystal silicon is instead of growing a polysilicon electrode, the deposited polysilicon is used as a filling material. The lateral gap is first formed by etching vertically through the single-crystal silicon by deep reactive ion etch (DRIE). Although DRIE allows for high aspect ratio trench structures, the desired narrow gaps demand greater precision not practical for DRIE. Hence the initial gap defined by DRIE is narrowed by first depositing a conformal LPCVD HTO followed by refilling the HTO-coated trenches with polysilicon. Once again, the electrode gap is defined by the thickness of the conformal side wall oxide. When the sacrificial oxide is removed by HF etch, a narrow submicron air gap is formed [123,157,158].
Some research groups have explored the benefits of defining the gap with a solid dielectric, substituting the silicon dioxide film with a different film that has a higher dielectric constant, such as silicon nitride. The process flow is the same to what is depicted in Figure 20, except that the nitride is not etched away. The benefit of this approach is that it allows thinner gaps to be realized, while additionally increasing the capacitance by means of the high dielectric constant of the film [159,160]. It has been found that the best location on the resonator to place the solid gaps is at the points of minimum displacement [128].
Others have also shown notable reduction in motional resistance by using a partially filled gap whereby a part of the air gap between the structural side walls is filled by a high-K dielectric. The high-K dielectric film thus increases the dielectric constant of the gap electrode overall while still leaving a thin air gap [160]. This approach aims to deliver high quality factor by keeping an air gap while improving the coupling efficiency through gap reduction and increasing the overall dielectric constant of the gap.

7.2. Piezoelectric Layers

As mentioned in the previous section, fabrication of narrow gaps is essential to improving transduction in the case of capacitive resonators. This brings along additional complexity to the fabrication process. Piezoelectric transduction offers an alternative to achieve reduced motional resistance while leveraging on developments in the growth of piezoelectric thin films owing to the maturity and success of thin film bulk acoustic resonators (FBARs). Among piezoelectric thin films that have been applied to MEMS resonators, AlN [65,161,162,163], ZnO [133], and PZT [164] are the most common ones reported in the literature. On this note, AlN has become highly popular due to its compatibility with existing fabrication technology for manufacturing integrated circuits. The cross section of AlN resonators generally comprises a thin AlN film that is sandwiched by bottom and top metal electrodes. The bottom electrode acts as a ground, and input AC voltage is applied to the top electrodes. This results in an electric field that is dropped across the thickness of the AlN structure. These AlN resonators are designed to vibrate primarily in the lateral modes, of which the frequency is defined by the lateral features of the resonators (as mentioned in Section 6.2 previously). Hence although the cross-sectional topology of the AlN MEMS resonator is similar to an FBAR, the modes of interest are different as the FBAR. The FBAR is designed to vibrate across the thickness of the film, which thus defines the resonant frequency. The motivation behind AlN MEMS resonators is to realize integrated resonators that are characterized by low motional resistance and resonant frequencies that can be lithographically defined towards a multiple-frequency on a single chip solution. As such, given that the electric field is applied across the thickness and the intended mode of vibration lies within the fabrication plane, the vibration modes are excited and detected through the d31 piezoelectric coefficient. FBARs in contrast are transduced through the d33 coefficient. Given that the d31 coefficient is typically lower than the d33 coefficient, the coupling efficiency of laterally-vibrating resonators is thus generally lower than an FBAR for the same piezoelectric material.
Apart from realizing a piezoelectric resonator with only the piezoelectric film to define the structural layer, some research groups have implemented resonators comprising a thin piezoelectric film on a thick substrate layer. In this case, the structural layer is defined mainly by the substrate material, examples of which include single-crystal silicon [59,165,166,167,168], silicon carbide [169,170,171], and diamond [172,173].
Pursuant to reaching higher coupling coefficients towards realizing low insertion filters based on laterally vibrating resonators, some groups have turned to materials with higher piezoelectric coupling coefficients such as Lithium Niobate (LiNbO3). The process differs from the above as device is fabricated from the wafer itself as the material cannot be deposited as a thin film. MEMS resonators fabricated from LiNbO3 have been shown to exhibit much lower insertion losses compared to AlN resonators [174,175,176,177].

7.3. CMOS MEMS

While the above processes involving silicon processing and deposition of AlN films are compatible with CMOS fabrication technology, some groups have been explored fabricating MEMS resonators based on standard CMOS technology. The key advantage of this approach, referred to CMOS MEMS, is monolithic integration of the MEMS structure with the interface electronics. Most demonstrations of CMOS MEMS resonators have been reported for either 0.35 µm or 0.18 µm technologies. There are several ways in which a MEMS structure can be realized from the layers of polysilicon, interlayer dielectrics, and metals included in a standard CMOS process.
One approach is to use the polysilicon layers to define the MEMS resonator and electrodes. In the case of [178,179,180,181,182] where there are two polysilicon levels, one polysilicon level was used for the resonator while the other polysilicon level was used for electrodes. In this case, the oxide layer between the two polysilicon levels defines the lateral gap between the side electrode and beam resonator, allowing gaps as narrow as 40 nm to be realized. As such, the oxide layer here serves as a sacrificial layer. The thickness of the resonator is defined by the polysilicon thickness, which is typically a few 100 nm and therefore much thinner than the polysilicon resonators described in Section 6.1 previously. This in turn places a limit on the transduction efficiency.
The other approach found from the literature is to use the top metal layer to define both the MEMS resonator and the electrodes [183,184]. In this case, the minimum achievable gap between the electrode and the MEMS structure is determined by the technology layout rules (i.e., the minimum gap between two features defined in the same metal level allowed in the process). In this case, as the MEMS resonator is defined in the metal layer, the thickness of the MEMS resonator will be determined by the thickness of the metal layer, which can be almost 1 µm. Compared the previously mentioned approach of using a spacer, realizing the MEMS resonator with the top metal layer allows a thicker structure but with the drawback of a wider capacitive gap.
The above two approaches define the resonator using the conductive layers that are available in the CMOS technology stack, of which only one layer is used. The last approach in contrast uses several of the layers that include both metals as well as interlayer oxide layers in order to realize a structure that is much thicker [185,186,187]. In this approach, the metal layers are physically and electrically connected to each other vertically through vias. These interconnected metal features are used to realize conducting sidewalls in both the beam resonator and the electrodes, embedded within an oxide matrix formed by the different layers of interlayer oxide. The other function of the interconnected metal features is to serve as vertical sacrificial features that extend through the entire thickness of the MEMS structure. These sacrificial metal features are exposed to the etching solution that selectively etches away the metal, while the metal sidewall features embedded in the oxide matrix are protected from the etchant. There is also the final option to release the structures from the underside by etching the exposed top side of the silicon substrate with XeF2. Out of plane vibration mode structures can also be realized using this approach and integrated with a MOS field effect transistor (FET) to implement a resonant gate FET [187] that has the advantage of incorporating intrinsic gain.

7.4. Packaging

Adequate packaging of resonators for the purpose of extending reliability is essential given that these devices are extremely sensitive to the external conditions. The package provides a barrier against exposure of the device to dust and moisture. In the particular case of capacitive resonators, the device needs to be sealed at moderate vacuum pressure levels in order to reduce viscous damping. There are two main approaches that have been used to seal the device at the wafer-level.
The first involves attaching a capping wafer onto the processed wafer with the resonator, which typically is either glass or silicon [188,189,190,191]. The capping wafer is typically processed by etching recesses into the wafer to create a cavity to accommodate the MEMS device to be encapsulated.
The other approach involves depositing an encapsulation material directly on the wafer processed with the MEMS device. Prior to the deposition of the encapsulation layer, a sacrificial layer is deposited over the processed MEMS device. The encapsulation layer could be a polymer [192] or combination of a metal and organic film [193,194,195] where the sacrificial layer is also an organic film. The benefit of using metal and organic films as the encapsulation layer is that the process can be done at low temperatures and thus compatible as a post-processing step. An alternative to implementing encapsulation as a post-processing step is to integrate packaging into the process for fabricating silicon resonators, using encapsulation materials such as polysilicon [195] or epitaxial silicon [196]. In this case, silicon oxide is used as the sacrificial layer that is deposited over the SOI wafer which has been processed with the resonator. The first encapsulation layer is then deposited and etched through to create vents. The resonator is released from the substrate and encapsulation layer through vapor HF etching, followed by sealing the wafer with a second encapsulation layer that seals off the vents.

8. Applications

8.1. Timing

For over a decade, MEMS resonator-based oscillators have moved towards commercialization for timing applications [8,10,12,197,198], mainly focusing on wired communications standards such as USB and on real-time clocks. The reason why MEMS oscillators are more slowly penetrating RF systems as frequency references is due to their fairly stringent phase noise requirements. These requirements stem from the synthesized carrier spectral purity specified by the majority of wireless standards. The close-in phase noise performance requirements are particularly challenging in wireless standards, as resonator non-linear behavior and somewhat lower-Q-factor than quartz usually degrades performance at close-in offsets to be as competitive for such applications. However, in serial communications, where clock-data recovery circuits filter close-in phase noise due to their feedback nature, close-in phase noise performance is relaxed, allowing MEMS resonator-based oscillators to penetrate these applications regardless of their somewhat lower close-in phase noise performance [15,199].
In addition to phase noise performance, an important requirement of timing applications is the frequency stability of the oscillator. Recently, temperature compensation algorithms or resonator fabrication techniques have allowed MEMS resonators to match the performance of quartz temperature compensated oscillators (i.e., TCXOs) with regards to temperature stability [199]. Real-time clocks, requiring oscillators operating at 32.768 kHz are of particular interest such as demonstrated in [200,201,202,203], where the resonator-based oscillator is interfaced with a phased-locked loop to synthetize the desired frequency output, and improve its temperature stability through the use of a temperature sensor and calibration data. In [203], a phase-locked loop is not used for this purpose in order to reduce power consumption, but a state machine determines the fractional division ratio of the oscillator output based on the output of a temperature sensor and calibration data. This method achieves an output frequency stability of ±10 ppm over 0 to 50 °C. In [202], a resonator is placed in a Pierce oscillator loop shown in Figure 21a [202]. Its 524 kHz output is fed to a dual-mode compensation circuit that can generate the 32 kHz required output, shown in Figure 21b [202]. In compensated mode, a modified fractional-N phase-locked loop can be activated to provide precise temperature compensation by modulating its output frequency based on the output of a temperature sensor. This allows to maintain the output frequency steady regardless of frequency drift due to temperature in the MEMS oscillator. In low-power mode, the phase-locked loop can be bypassed in order to generate an uncompensated output for applications that do not require compensation and which can benefit from the reduced power consumption. In low-power mode, the current consumption is of 0.6 μA (1.4 V supply), and when temperature compensated it is of 1.0 μA (1.4 V supply). The system achieves a ±100 ppm frequency stability over −40 to 85 °C in low power mode, and of ±3 ppm in temperature compensated mode. Note that the temperature compensation in this system requires calibration to allow for the most effective compensation of the resonator’s temperature characteristic.
Similar efforts have also been done using phase locked loops to generate MHz range output frequencies for systems targeting serial communications such as in [204] where a 5 MHz MEMS resonator-based oscillator is used as the frequency reference of a fractional-N phase-locked loop to generate output frequencies ranging from 1 MHz to 110 MHz with a ±30 ppm stability from −40 °C to 85 °C. Another design improves the temperature compensation to ±0.5 ppm accuracy from −40 °C to 85 °C and widens the output frequency range from 0.5 MHz to 220 MHz, consuming 3.97 mA from a 3.3 V supply [205].
Alternatively, some other temperature compensation techniques rely on dual resonator devices that have different temperature coefficients and are placed in a thermal feedback loop. These attain frequency stabilities of ±1 ppm over −20 °C to 80 °C in [206], and of ±4 ppm from −40 °C to 70 °C in [207], and interestingly do not require calibration, which is a significant advantage in order to reduce device cost. However, these techniques require heating of the resonators, and thus will consume higher amounts of current that are on the order of a few milliamps.
Considering wireless standards, in [208], while no temperature compensation loop is implemented, a fractional-N phase locked loop with a MEMS resonator-based oscillator running at 11.6 MHz is presented with an RF output frequency of 1.7–2 GHz and attempts at meeting the local RF oscillator wireless standards performance metrics of GSM, such that the reported phase noise attained is −122 dBc/Hz at a 600 kHz offset, and −137 dBc/Hz at a 3 MHz offset. Close-in phase noise performance precludes the system from meeting wireless phase noise standards (e.g., error vector magnitude), because of the relative low Q-factor of the resonator used.

8.2. MEMS Resonator-based Oscillators

As was previously discussed, the electrical model applicable to all resonators is a series-resonant RLC circuit with capacitive feedthrough causing parallel resonance as well. If resonators are operated as channel-select filters such as in [209], typically no interface electronics are required to achieve the filtering operation. However, if present as filters in radiofrequency (RF) systems such as receivers, they may be embedded into electronic circuits such as mixers in order to reduce the impact of their typically higher insertion losses on the overall noise figure of the systems [15,210,211].
Typically, MEMS resonators are interfaced with sustaining amplifiers that allow for their use in electronic oscillators that generate an electrical signal at the resonant frequency of the resonator such as demonstrated in [211]. When used in sensors, resonators will usually operate through some functionalized MEMS resonator that varies its frequency in response to sensed element such as in [212]. When used in timing circuits as precision clocks [200] or as RF carriers [10], MEMS resonators are used as the frequency reference element. Whether MEMS resonators are used in sensing or in timing applications, the sustaining amplifier needs to carefully be designed to consider the particularities of MEMS resonators in order to enable high quality oscillation [213,214,215,216].
MEMS resonators, while similar in function to quartz crystals, have properties that require specific interface circuitry designs. For instance, unlike quartz crystals that can have milliamp scale output motional currents, the output motional current of a MEMS resonator is typically in the nano-ampere range [217,218]. In addition, their insertion losses, in the case of electrostatically driven resonators, can represent motional resistances in the 1 kΩ–100 kΩ range [18,62,218], which is significantly higher than quartz crystals (i.e., 25 Ω–200 Ω).
Accordingly, the power handling ability of MEMS resonators is on the order of a few micro-Watts, and they will exhibit significant non-linearity beyond that drive level which can deteriorate performance or, if harnessed properly by the electronics, improve it [219,220,221,222,223,224]. In addition, the Q-factor of MEMS mechanical resonators is in the 104 range, and is generally strongly inversely proportional to the resonant frequency. This is lower than the Q-factors achieved by AT-cut crystals, which are typically in the range of 104–105 over a wide range of frequencies. Furthermore, the resonant frequency temperature dependence of an uncompensated MEMS silicon resonator is much higher (−30 ppm/°C [197]) than that of an AT-cut crystal (±25 ppm from −40 to 85 °C). Mechanical or electronic temperature compensation is thus a must for MEMS resonators to match quartz temperature stability, a critical parameter in all timing applications.
Regardless of their disadvantages, typical resonance frequencies of MEMS resonator can vary from the kHz range to the GHz range [63,203,225], which is much higher than what is attained by quartz crystals (~100 MHz), and they can be fabricated at relatively low cost and in some cases can be integrated monolithically with the electronics [12], which are significant advantages.
Ultimately, a MEMS resonator exhibits a series and a parallel resonance, and a sustaining circuit is required to compensate its motional resistance and provide the suitable phase condition to allow for electronic oscillation. However, the higher motional resistance, non-linearity and in some cases high operating frequencies increase the design complexity of the sustaining electronics. In addition, the mechanical noise of the resonator is an important factor to consider when designing an integrated circuit and several works have attempted to model it [221,226,227,228]. This section focuses on the particularities of MEMS resonator-based oscillators, and discusses the circuitry that is interfaced with MEMS resonators in order to implement them.

8.2.1. Operating Principles

Oscillators are commonly used in RF systems in voltage controlled oscillators (VCOs) for high frequency signal generation of carriers, or as low-frequency reference oscillators for PLLs. They can also be used standalone in timing circuits, such as in electronic watches. Resonators are well suited to creating such oscillators, because of their high-Q-factors and frequency filtering properties [6,7,8].
The topology in Figure 22a illustrates the configuration of a typical MEMS resonator-based oscillator, with a resonator’s typical amplitude and phase frequency responses shown in Figure 22b [162]. In a positive feedback loop, a sustaining amplifier with a frequency dependent gain, A(s), an input-referred noise and a non-linear characteristic has its frequency response filtered by a MEMS resonator having a frequency dependent motional resistance and thus frequency response, β(s) [228]. At power up, the noise present in the positive feedback loop gets amplified and filtered by the resonator after multiple passes around the loop until the sustaining amplifier or the mechanical resonator limit the signal growth because of non-linearity. This reduces the loop gain A(s)β(s) such that in steady-state, the gain around the loop (i.e., loop gain) has an effective value of unity, and a sustained constant oscillation can be observed. Important aspects of the loop gain are that for this constructive positive feedback to occur, and to allow for an oscillation be sustained, the linear gain around the loop must be larger than unity, usually with some safety margin to allow for fast start-up and design margins (e.g., 1.5 times the minimal gain required), and the phase shift around the loop must allow for the noise waveform propagating around the loop to constructively grow. These oscillation conditions, first defined by Heinrich Georg Barkhausen, can be expressed as:
| A ( s ) β ( s ) | > 1
( A ( s ) β ( s ) ) = n 360 ° ,   n = 0 , 1 , 2
As can be seen in the phase condition above, the phase shift around the loop must either be zero or a multiple of 360°. Typically, oscillators will either operate around a 0° phase shift or a 360° phase shift. In the former, the resonator’s series-resonance, when the resonator’s impedance is lowest, is used with a sustaining amplifier having sufficient bandwidth to add negligible phase shift to the loop, while in the latter, its parallel-resonance, when the resonator’s impedance is largest, is used with an amplifier providing 180° phase-shift around the loop. In that case, the rest of the phase shift is provided by electrical passive components, usually capacitors, such that the phase shift at a frequency between the series and parallel resonances of the resonators is of 180°, yielding the total required 360°. Typically, series resonance provides more accurate oscillation frequency as it does not depend on electrical components that may be inaccurate in order to attain additional phase shift, however, designing an amplifier with negligible phase shift can be a challenge [216,229,230]. Provided that the amplifier has enough gain to offset the loss of the resonator at resonance, and that its bandwidth is wide enough to contribute negligible phase shift to the loop, the circuit will oscillate at the series-resonant frequency of the resonator [230], otherwise, an offset in frequency will occur and the amplifier will have to provide more gain to overcome the additional losses of the resonator at a frequency offset from the series resonance. For parallel resonant circuits, a negative gain (i.e., a 180° phase shift) amplifier can also be used with additional phase shift, such as in Pierce oscillators [163,215].

8.2.2. Phase Noise

Because of the bandpass nature of the resonator, and the noise-shaping of the electronic amplifier noise caused by the feedback loop, the spectral density of the output is a single tone that has its frequency purity compromised by a “skirt” around it [231]. This is shown in Figure 23a [232], where the spectrum from a 27 MHz MEMS resonator oscillator is shown. The corresponding phase noise plot shown in Figure 23b [232], where the noise power relative to the oscillation power is plotted against offset frequencies form the oscillation frequency. In the time domain, phase noise can also be transposed to the time domain as jitter in the phase of the output signal. In timing applications, jitter performance is more often quoted (e.g., in ps) instead of phase noise performance (e.g., in dBc/Hz at a given frequency offset), but both metrics and inherently related [233].
The Leeson phase noise model is a linear model that gives and expression for the phase noise in an oscillator. Leeson’s equation is given by [231]:
φ ( Δ f ) = 10   log [ k T F 2 P S ( 1 + ( f 0 2 Q L Δ f ) 2 ) ( 1 + f C Δ f ) ] Δ dBc / Hz
where k is the Boltzmann constant, T is the operating temperature, F is the effective excess noise factor, mainly caused by the sustaining amplifier, PS is the signal power at the input of the amplifier, f0 is the oscillation frequency of the oscillator, Δf is the offset frequency at which the phase noise is measured, QL is the loaded quality factor of the resonator, and fC is the corner offset frequency at which the phase noise starts to increase at a rate of 30 dB per decade. The conceptual power spectral density of the phase noise shown in Figure 24 outlines that at far away offsets from the oscillation frequency, phase noise becomes white in spectrum, but within the half-bandwidth of the resonator (i.e., f0/2QL), the phase noise increases by 20 dB per decade until it reaches a point where it increases by 30 dB per decade below fC. Leeson’s model is somewhat empirical as F and fC are often obtained by measurements, since phase noise is often significantly affected by nonlinearities and time variance of the phase noise mechanisms in oscillators.
More elaborate phase noise models exists such as that in [234], where a time-variant phase noise model is proposed. However, while not predictive in nature, the Leeson model can still provide important insights with regards to phase noise performance. Notably, a higher resonator quality factor and oscillation power will improve phase noise performance by tightening the oscillator’s output spectrum, and reducing excess noise stemming from electronics will improve the phase noise floor. It is also of interest to reduce the loading of the quality factor of the resonator by ensuring appropriate input and output resistances of the sustaining amplifier [235]. The 1/f3 corner frequency is often attributed to 1/f noise present in the electronics, however it is often different in phase noise plots, notably because of the time varying nature of the phase noise mechanism [234]. Degradation of phase noise due to noise folding can also impact oscillator performance [236]. In addition, complexities can arise because of nonlinearity [221] or the specificities of MEMS resonators can deteriorate phase noise. For instance, mechanical noise can impact performance depending on the relative noise performance of the sustaining amplifier [225]. Moreover, resonant non-linear behavior can also cause close-in offset noise degradation and a higher than 30 dB phase noise slope [222,226,227]. This degradation of phase-noise, notably at low frequency offsets has pushed most MEMS oscillator designs to employ automatic gain control in the sustaining amplifier in order to reduce the non-linear behavior of the MEMS resonator, and ensure optimal close-in offset performance [209,231,237,238]. The influence of automatic gain control on the oscillator phase noise is shown with regards to time-domain frequency stability in Figure 25a [208] and to phase noise performance in Figure 25b [237], outlining the significant degradation in oscillator performance when no automatic gain control is used to limit the oscillation amplitude. Notably, some resonator models have been proposed to enhance the typical RLC model which does not allow for circuit design that takes into account resonator nonlinearity (e.g., [223,228,239]), and other works have also leveraged the non-linearity of resonators to enhance phase noise performance by designing the oscillator to take advantage of the Duffing behavior of the resonator (e.g., [139,221]).
Ultimately, the limited power handling capabilities of MEMS resonators compared to quartz crystals restricts phase-noise performance improvement through the increase of the oscillation amplitude, but the high-Q they provide allows competitive phase noise performance if proper gain control measures are taken to mitigate resonator nonlinearity. This is particularly true of electrostatic resonators which are inherently more non-linear due to their actuation mechanism [83]. However, piezoelectric resonators are also prone to non-linear behavior [220]. Overall, the output phase noise of a MEMS resonator-based oscillator thus depends on several factors:
  • The higher the Q-factor of the resonator, the lower the phase noise in the MEMS oscillator because of the enhanced noise filtering.
  • The higher the power handling capability of the resonator, the lower the phase noise of the MEMS oscillator because of the increased sustainable amplitude of oscillation.
  • The higher the motional resistance, the higher the phase noise of the MEMS oscillator because of the higher gain sustaining amplifier is required, usually required more active devices that generate noise.
  • The lower the electronic noise of the sustaining amplifier, the better the phase noise because of the shaping of this noise that causes a significant portion of the overall phase noise.

8.2.3. Temperature Compensation

A significant issue for MEMS oscillators is their stability with changes in the ambient temperature. As was previously mentioned, the resonant frequency temperature dependence of an uncompensated MEMS silicon resonator is much higher than that of an AT-cut crystal (i.e., ~50 times worse). Many strategies can be adopted to reduce this sensitivity. Electrostatic tuning can be used to change the bias of the resonator in response to temperature changes [240,241,242]. Thermal stress compensation can also be used by creating composite resonating structures that feature materials such as silicon oxide that can compensate silicon’s temperature variability [242]. Direct thermal tuning can also be used to control the resonator’s frequency and improve its frequency stability, similarly to oven controlled crystals [243,244,245]. Other approaches involve the use of phase-locked loops in arrangements that can include mismatched temperature coefficient resonators that result in a temperature stable operating point (e.g., [207,208]) or that can include temperature to digital converters that control the output frequency of the loop to compensate the resonator temperature variance (e.g., [203,206,207,246,247]). More recently, the use of electronics for compensation has precluded MEMS oscillators from operating at power budgets that rival that of quartz oscillators. However, mechanically temperature compensated resonators using doped silicon or silicon oxide [243,248,249] are a current interest for commercial applications as they can preclude the need for heating or electronic compensation, allowing for lower power consumption and better phase noise performance due to the reduced complexity of the control electronics.

8.2.4. Sustaining Amplifiers

As was previously discussed, MEMS resonators exhibit very high motional resistances compared to that of quartz crystals—typically in the order of several tens of kilo-Ohms for electrostatically actuated resonators, and a few kilo-Ohms for piezoelectrically actuated resonators. Accordingly, in order to operate at the series-resonance of the resonator, the motional current outputted by the resonator device needs to be amplified by a trans-impedance amplifier (TIA) having the following characteristics [215]:
  • a high gain to offset the resonator losses (i.e., at least 1.5 times the resonator’s motional resistance);
  • a bandwidth which is an order of magnitude larger than the resonator’s frequency to ensure a small phase shift around the feedback loop;
  • low input and output impedances to avoid loading the resonator’s Q-factor;
  • an automatic gain control capability to prevent large oscillations from exerting the resonator’s nonlinearities.
All these specifications are challenging to fulfill simultaneously, and require carefully designed circuitry. The typical interconnection for a trans-impedance amplifier with automatic gain control used to bring a clamped-clamped beam resonator is shown in Figure 26 [241].
In this configuration, an operational amplifier is put in shunt-shunt resistive feedback to provide a trans-impedance gain of −RAMP and a second stage provides an additional gain of −1 to provide a total positive gain with 0° phase shift and sufficient gain to offset the motional resistance of the resonator. Note that the resonator in this work has two transducer gaps, which reduces the feedthrough capacitance and thus mitigates the parallel resonance of the resonator. A level control circuit can modulate the gain by changing the feedback resistance, which is implemented with a triode transistor. Note that the use of a triode transistor can cause non-linear behavior of the circuit and detract from phase noise performance [249]. In addition, the input resistance of the shunt-shunt feedback amplifier is typically on the order of RF/A, where A is the gain of the operational amplifier in the shunt-shunt feedback. This implies that if very large gain is implemented with the amplifier or if it has insufficient gain, the input impedance may increase sufficiently to significantly load the quality factor of the resonator and deteriorate phase noise performance, as later discussed. As for resonator nonlinearity mitigation, many different amplitude limiting schemes exist, ranging from hard limiting using comparators or saturating circuits to soft limiting using variable gain amplifiers [216,231,238,250,251,252].
In Figure 27, a topology using a trans-impedance input stage with a second voltage gain stage to provide sufficient gain is shown [215]. The advantage of splitting the gain stages into two is that more gain bandwidth can be achieved per stage to allow for series-resonant oscillation at up to 15 MHz in [208]. The shunt-shunt feedback is implemented in the variable gain amplifier. Again, an automatic gain control can regulate the gain to prevent resonator nonlinear limiting and enhance phase noise. This is implemented by varying the voltage stage gain in response to the amplitude detected at the output of the oscillator through the automatic gain control loop.
At the transistor level, the trans-impedance structure has been used both for electrostatic resonators (e.g., [201,217,231,242,245,253]) or piezoelectric resonators (e.g., [239]). Many designs utilize a regulated cascode input stage to boost the current gain and reduce the input resistance of the trans-impedance at the input, in order to reduce the Q-loading on the resonator. This is important as Q-loading in series-resonant oscillators is given by:
Q L = Q UL 1 + ( R i + R o ) / R m
where QUL is the unloaded Q-factor of the resonator, Rm its motional resistance, Ri the input resistance of the sustaining amplifier and Ro its output resistance. Moreover, in order to reduce the Q-loading of the resonator, all series-resonant circuits include an output stage which ensures a low output resistance, as shown in Figure 27. This can be a common-source type buffer, or a series-shunt feedback buffer circuit.
The regulated cascode circuit is shown in Figure 28 [215] and variants of it are used in works such as [138,216,245,254]. Assuming R3 is large enough so that the signal current through it can be neglected, the low-frequency input impedance of this stage can be shown to be
R i = 1 g m 1 ( 1 + g m 2 ( R 2 | | r o 2 ) )
It can be seen that the gain of the feedback loop increases the trans-conductance of transistor M1, and therefore lowers the input impedance of the amplifier beyond that of a traditional common-gate configuration biased at the same current. The trans-impedance gain of the stage is approximately R1, which can be selected independently to gm1 and gm2 with appropriate transistor sizing. This is in contrast to the shunt-shunt amplifier which imposes relationship between the achievable gain and the input resistance, as was previously discussed. To achieve a large gain, the size of R1 must be maximized, but the voltage drop across it must be small enough to keep M1 in saturation mode. This reveals the main advantage of the gm-boosted topology, compared to a simple common-gate amplifier. A small bias current can flow through M1 and R1, allowing for a large trans-impedance gain, while the feedback provided by M2 and R2 boosts the trans-conductance of M1 such that the input impedance remains small. Note that the resistors can be implemented as triode transistors as well, but care should be given to the 1/f noise performance in that case.
Typically following the regulated cascode is a variable voltage gain amplifier in shunt-shunt feedback using a triode transistor to vary the gain such as in [253], and shown in Figure 29 [253] following a regulated cascode input stage using two triode transistors M3 and M4. The voltage gain amplifier this case is composed of two inverters (M5, M6 and M7, M8), with the second inverter in shunt-shunt feedback allowing for controllable gain through the biasing of transistor Mf. Capacitor Cpk is included in this case in order to provide a peaking zero in the frequency response which extends the bandwidth of the amplifier and ensures a sufficient bandwidth to meet the 0° phase condition for series-resonant oscillation. Note that the first inverter in the voltage amplifier can be difficult to bias properly because of the sensitive nature of the inverter input node to DC bias. As such in [138], a less sensitive common source voltage gain stage is used before the shunt-shunt feedback tunable voltage stage.
Another amplifier structure which has been used is the capacitive feedback trans-impedance amplifier structure [218,255,256]. This structure is shown in Figure 30 [254] and includes an operational amplifier which is configured with capacitive feedback, allowing for a very large gain and very low noise since the capacitor element does not contribute any noise to the circuit, unlike the feedback resistor used in shunt-shunt configurations. This topology requires careful design of the frequency response of the circuit to ensure proper phase shift for oscillation.
In addition, the Pierce oscillator structure shown in Figure 31 [214], often used in quartz oscillators, has also been used in MEMS resonator-based oscillators. In this architecture, one transistor is used to provide negative gain, and load capacitance ensures minimal Q-loading of the resonator and optimal phase shift. This configuration utilizes parallel resonance of the resonator to attain the additional phase shift required to attain oscillation. The Pierce structure, due to its reduced achievable gain is mostly used in piezoelectric MEMS resonator-based oscillators (e.g., [163,215,257,258]). However, this topology is less used in electrostatic resonators, as they generally have too large of a motional resistance to be overcome by the one transistor stage Pierce structure. Notably, some works involving electrostatic resonators have been able to achieve Pierce-type oscillators by using relatively small electrostatic gap resonators in order to reduce the motional resistance sufficiently (i.e., 50 nm in [249]). In that regard, works have shown methods of reducing the electrostatic gap-size after fabrication using static electrostatic actuation of the resonant structure towards the drive and sense electrodes, potentially allowing for more widespread use of the Pierce structure [259,260]. MEMS oscillators can also operate using parallel resonance though a higher gain inverting amplifier (e.g., current starved inverter in [201]) and capacitive elements to provide additional phase shift.
It is important to note that while some oscillators feature single-ended sustaining amplifier structures, many also are implemented using differential structures, in order to reduce the impact of common-mode noise, and take advantage of resonators having differential resonant modes which can result in better phase noise performance (e.g., [256,260]).

8.2.5. Nonlinear Oscillators

Some efforts have been carried out to operate MEMS resonators in their non-linear Duffing regime in order to achieve more than 1/f2 phase noise filtering, and significantly improve the close-in phase noise performance of MEMS oscillators [220]. Work in [220] and all of the previously discussed series and parallel resonant oscillators are harmonic in nature, such that a resonator, acting as a bandpass filter is put within a positive feedback loop to generate an oscillation at a frequency within the resonator’s series or parallel resonance frequencies.
Recently, nonlinear oscillators, such as the parametric oscillator, originally introduced in RF and microwave applications (see [259]), have been applied to MEMS resonators [224,260,261,262,263]. The simplest parametric oscillators operate on the modulation of a characteristic in a pumping loop at twice the required resonator resonant frequency [260]. When doing so, the resonator will generate a signal through its non-linearity that will provide the required output frequency. The fact that no electronics operating at the resonant frequency are required to close a harmonic loop around the resonator allows for these oscillators to feature low close-in phase noise performance (i.e., within the resonator bandwidth) since the electronic noise is not dominating at the resonant frequency [224,263,264].
Electrostatic resonators are particularly well-suited for harmonic oscillators, as their spring constants can be modulated using a variation of their bias voltages, such as shown in Figure 32a [223] and Figure 32b [261]. This can be done by a specially designed oscillator operating at double the resonator resonator’s frequency [223], or by putting the resonator within a loop that includes a frequency-doubler and start-up source [261]. Parametric oscillation can also be carried-out with piezoelectric resonators, but the higher linearity of the piezoelectric transducer has required the use of a voltage varying capacitor (i.e., a varactor), in the parametric amplifier to generate the harmonic behavior [262].
This approach notably has for advantage of allowing lower-Q-factor resonators to be used and deliver performance akin to higher-Q devices, allowing for the design using moderate Q-factor resonator which may have power handling advantages that can reduce far offset phase noise performance further, while providing adequate close-in phase noise performance through a parametric oscillator configuration.

8.3. Sensing

Operation of resonant sensors is based on transforming energy in from one domain to a change in the resonant frequency of a device. The change in resonant frequency can be detected by sweeping the frequency of an excitation signal around the resonant frequency of the device. A more practical method is to place a two-port resonant device within the feedback loop of a properly designed electronic circuit to form an oscillator. In this case, measurements of the quantity of interest will be carried through monitoring of the output frequency of the oscillator circuit. Resonant sensors are among the most sensitive and precise devices for many applications. This in great part is due to the variety of existing techniques for fast, precise, and accurate measurement of the frequency or period of a signal. It is relatively simple to measure the frequency of a signal with sub-ppm levels of precision while achieving a similar level of precision on a voltage or current measurement is typically a challenge. Accurate frequency references, from crystal oscillators to atomic clocks, offer better stability than typical voltage or current references. On the other hand, the signal from a resonant sensor is quasi-digital since an analog-to-digital converter is not needed to measure a frequency. As the information is embedded in frequency rather than amplitude, the sensor signal is immune to noise and interference.
Recalling that ω 0 = K e f f / M e f f , it can be seen that a shift in the resonant frequency of a structure is a consequence of a change in the effective mass or the effective spring constant of the structure:
Δ f 0 = f 0 2 ( Δ K e f f K e f f Δ M e f f M e f f )
where Δ K e f f and Δ M e f f are disturbances in the effective stiffness and mass of the structure, respectively. The challenge, therefore, is the proper design of mechanical coupling structures to transform the information from the desired physical domain to a change in dynamic properties of a micromechanical device. Consequently, the design of resonant sensors involves the design of a (high- Q ) resonator as well as coupling mechanisms that convert the quantity of interest into a mass or stiffness disturbance. The changes in stiffness are usually a consequence of developing internal stresses within the structure of the device. Obvious applications of resonant sensors are thus mass or strain sensing.
Changes in resonant frequency can be measured in different ways. The most straightforward method, especially in a laboratory setting, is to sweep the frequency of the excitation signal around resonant frequency and monitor the changes in resonant frequency in response to disturbances. Another technique is to excite the resonator with a fixed stable signal at a frequency that is slightly different (typically higher) than the resonant frequency of the device. In this case, changes in the resonant frequency will be converted to changes in the amplitude of the output signal and can be related back to the measurand. A technique more suitable for general applications is to place the resonator in the feedback loop of an oscillator circuit and monitor its output frequency. In all these cases, higher quality factors result in better resolutions for the sensor. Therefore, maximizing the resonant sensor quality factor is another design and operation requirement. It should be noted that too high a Q can lead to large resonance amplitudes, and hence, make the resonator prone to nonlinearities.
It is noteworthy that, as can be seen from Equation (5), changes in quality factor can also affect the resonant frequency of a device. However, quality factor of microdevices is often quite large and varies significantly from device to device. As such, both precision and accuracy advantages may be sacrificed to a great extent. If the parameter of interest affects the quality factor, changes in signal amplitude are typically easier to measure than changes in the resonant frequency. Additionally, a high quality factor often reduces the sensitivity of the resonator response to changes in quality factor.

8.3.1. Resonant Sensors Based Changes in Effective Mass

Resonant mass sensing, also known as gravimetric sensing, has been a well-known application of resonators. The change in the effective mass of the structure can be due to settlement of particles and objects on the resonators surface, deposition of thin films, or absorption of material into films on the surface of the device. Resonant mass sensors have therefore been used to detect particle concentration, deposition rate, chemical sensing, and bio-sensing. As can be inferred from Equation (9), to obtain a high mass sensitivity, one would like to use a device with a high resonant frequency f 0 , with a small effective mass, M e f f . Reducing device dimensions usually achieves both of these goals at the expense of complexity of input/output coupling to the device.
Beam based structures, such as cantilevers and bridges, offer a simple structure, straightforward excitation, and various detection possibilities [48,265,266,267,268,269,270,271]. Figure 33 is an SEM image of a bridge-based mass sensor where sheets of platinum were deposited for controlled characterization of the device. Even single carbon nanotubes can be used as super-sensitive cantilever-based mass sensors [272,273]. To use these devices, the beam resonator is excited in its flexural, typically out-of-plane, mode of vibration using piezoelectric or electrostatic actuation. The resonant frequency of the beam is then monitored using optical or electrical techniques as to quantify the mass added to the structure. For flexural vibrations of a beam, the contributions of different segments of the structure to its effective mass vary depending on the beam geometry and the relative amplitude of vibration (see Equation (19)). The location of added mass onto the beam is thus another factor that affects the amount of shift in the resonant frequency and must be taken into account in such studies [274,275].
To go beyond the capabilities of a simple cantilever structure without dealing with complexities of driving and sensing signals at the nano-scales, one can take advantage of bulk resonant modes of structures. Bulk mode resonators, in general, offer higher resonant frequencies for similar dimensions as flexural devices. Furthermore, they often have higher quality factors, which improves the resolution of the sensors [274]. The structure of a bulk mode resonators is typically simple to reduce the effects of spurious modes and is usually based on a beam, square or circular plate, or a ring. Any of surface acoustic wave [276,277,278], thickness shear [279,280], bulk acoustic wave [281,282], or extensional modes [283,284] can be selected for mass sensing among several other modes of vibration [283]. The selection of the mode shape is usually influenced by fabrication capabilities and the desired transduction mechanisms.
One of the main applications of gravimetric sensors is chemical sensing, particularly in gaseous phase [284]. In such applications, a thin film that selectively binds to the target chemical is applied to the surface of the resonator. During the device operation, the analyte is adsorbed onto or absorbed into this sensitive layer, causing an increase in the effective mass of the structure, among other potential effects. For instance, molecules absorbed into the film often produce a stress at the surface of the structure, which besides affecting the resonant frequency of the resonator, modifies the surface losses in the structure and alter the quality factor of the resonator. The resonator, on the other hand, is usually placed in an oscillator loop and the changes in its resonant frequency are monitored. For a perfectly selective film, the changes in the effective mass can be directly related to the concentration of the particular chemical in the environment. In practice, however, various other phenomena may affect the resonant frequency of the device including variations in temperature, humidity, and other molecules that may also bind to the film. Cantilevers and bridges are among the more common types of resonators that are used for gravimetric gas sensing in gases [285,286,287,288,289]. The simple structure of these resonators makes it possible to fabricate high performance devices even when the manufacturing process is not optimal [290,291,292]. The large surface area of quartz microbalances or surface acoustic wave resonators makes them popular choices for gas sensing applications as the focus is placed on the thin film [293,294,295,296]. Gravimetric sensors based on nano-resonators are capable of detecting a single molecules of target analyte. Arrays of gravimetric gas sensors have been used in multi-gas sensors systems, also known as electronic-noses [297,298,299].
Resonant mass sensors are also used for the detection of biomolecules. In this case, the active surface of the resonator is coated with a protein that selectively binds to the target biomolecule. However, as most biological sensing needs to be carried within biofluids, most resonant modes are damped so heavily that they could no longer be used as gravimetric sensors. The resonant modes that can still result in high quality factors often rely on in-plane movements of the resonator relative to the liquid surface [148,274,279,300,301,302]. It is also possible to build micro- or nano-channels within the structure of the resonator (see Figure 34) [45]. Once the inside walls of these channels are activated, the biosample is flown through the channels. Target biomolecules in the sample bind to the channel walls, increasing the effective mass of the resonator. This technique allows for the use of various resonators at the expense of increased fabrication complexity.

8.3.2. Resonant Sensors Based Changes in Effective Stiffness

The stiffness of most mechanical structures is a function of the stress applied to them. A familiar example is a guitar string whose natural frequency increases with tensile stress. Most resonant sensors employ the flexural resonant modes of a structure. This in part is due to the fact that it is fairly simple to couple an input stress onto a flexural spring without affecting other resonator parameters especially its quality factor. For a clamped-guided beam, a common element in MEMS structures. The effective flexural spring constant of such a beam under axial force T (positive for tensile forces) is given by [302]:
k b = γ T γ L 2 tanh ( γ L 2 ) 12 E I L 3 + 6 T 5 L
where E is the Young’s modulus of the material, L and I are the length and the second moment of inertia of the beam spring, respectively, and γ = T E I . As can be seen, the flexural spring constant changes linearly for small axial forces (stiffens for tensile stress and softens for compressive). The extreme sensitivity of resonant sensors allows for the detection of strains on the order of pico- to nano-strains, and hence, a viable mechanism for detection of various phenomena. To maintain high quality factor, most resonant sensors are operated under vacuum. This encapsulation creates challenges for the coupling of the measurand to the resonator structure.
Much like mass sensing, strain sensing is an obvious application of resonant sensors. A strain sensor, in its simplest form, is anchored at two locations which undergo some relative displacement during the device operation. The anchors transfer this strain to the resonator structure, and consequently, affect its resonant frequency [303,304,305,306].
Pressure sensors were among the early examples of resonant sensors. The design of these devices is based on anchoring a resonator to one side of a membrane that would deflect under pressure. The membrane deflections would then cause an axial strain onto the resonator, ultimately causing a change in its resonant frequency (see Figure 35). The required high quality factor was achieved through providing a stable, low-pressure ambient on the resonator side of the membrane [307,308,309,310,311]. Figure 36 shows such a device where coupled bridge resonators were enclosed in a micro-cavity and anchored to the top of a flexible membrane [312,313]. The device was placed within a fixed magnetic field produced by a permanent magnet. A current is used to produce a Lorentz force on one arm of the device whose dynamic deflections are picked up using the electromotive voltage produced across a coupled beam. The device was then placed within the feedback loop of an oscillator circuit.
Several resonant magnetic field sensor designs have also been proposed [304,314,315,316]. In a typical design, the Lorentz force on a current-carrying beam is used to generate a stress on a resonator, whose resonance frequency then changes accordingly. Figure 37 illustrates a sample design where the Lorentz force on two cross-bars was transferred axially to an electrostatic resonator [315]. Thanks to the inherent advantages of resonant sensing, resonant magnetic sensors typically offer a high resolution and dynamic range without the need for any special fabrication steps or materials. Some magnetic sensors designs employ resonance to enhance the sensor response, but their output is based on a change in signal amplitude rather than frequency [317,318,319].
Linear inertial forces on structures can also be coupled to a resonator structure to realize resonant accelerometers [320,321,322]. Figure 38 is an SEM image of a resonant accelerometer where displacements of proof mass were measured through changes in the resonant frequency of the connector beam [321]. It is also possible to measure the proof mass displacements through monitoring the change in the electrostatic spring constant in electrostatic resonators [322]. Even though resonant accelerometers are capable of achieving very high resolutions, their relatively slow response times makes their applications limited to special cases. Vibratory gyroscope designs are also based on microresonator structures. However, in typical designs, two resonators or two vibration modes of a single resonator are employed and the measurement of rate is based on change in signal amplitudes [323,324,325,326,327].
Structures can be designed to convert a Columbic force to an axial stress. The Columbic force can be generated from a field produced by an electric charge. This concept has been employed design and fabricate micromachined resonant charge sensors [328,329].

8.4. Radio-Frequency Systems

MEMS resonators have the potential to be used in RF systems as filters in various locations of the transceiver chain or as oscillator core elements to directly generate RF carriers or generate reference frequencies for LC RF oscillators in RF frequency synthesizers [7,86,330,331].
This is illustrated in Figure 39a, where MEMS resonators could replace the band-select, image-reject, or channel-select filters. They could also be used in the channel-select phase-locked loop as frequency references. The very high quality factor and GHz-range resonant frequencies attainable by MEMS resonators can also allow for receiver architectures that are not possible with other filter technologies. This is illustrated in Figure 39b, where electrostatic MEMS resonators resonating at RF frequencies can be used to filter the channel directly before the low-noise amplifier (LNA) [7,11,332,333,334]. Moreover, the MEMS resonators can be used as mixer-filters by leveraging their non-linearity to provide tuned carrier down-conversion [333], and the RF local oscillator can be implemented without a phase-locked loop [210]. Besides the inherent area and cost savings, the architecture in Figure 39b can be used to trade off high-Q for power consumption [330] as channel selection directly carried-out at RF yields a substantial advantage: the dynamic range and linearity requirements of the LNA and mixer in the receive path can be reduced. This is because high power interferers can be rejected not only at the band-level but also at the channel-level, only leaving the channel of interest to be amplified. Moreover, an RF-level channel-filter can relax the phase noise requirements of the RF oscillator relative to adjacent channel interferers, as these channels are already attenuated before the down-conversion.
With regards to filtering, MEMS resonators can be electrically viewed as bandpass filters with very small bandwidths, having center frequencies determined by their intended resonant mode. MEMS resonators can also be combined in order to create higher order bandpass filters which can potentially be integrated into transceiver front-ends by replacing costly, off-chip, narrow-bandwidth filters. In order to achieve a bandpass filtering function with sufficient bandwidth, MEMS resonators need to be coupled together as their quality factors are generally too high to provide a wide enough bandwidth standalone. This coupling between resonators to achieve the required flat enough passband can be done mechanically (e.g., [86,210,335,336,337,338]) using springs, typically implemented through torsional, flexural or extensional beams, or electrically using intrinsic capacitances (e.g., [64,88,141,339]). Band stop filters have also been proposed [338] and wideband filters have also been demonstrated [175].
An obstacle to the deployment of MEMS resonators as filters in RF systems is their insertion losses that can be on the order of several dBs [11], causing too much noise figure degradation if used before the low-noise amplifier. Moreover, the motional resistance of electrostatic MEMS resonators is usually on the order of several kilo ohms, which requires matching networks to be implemented in order to ensure correct filter terminations in 50 Ω RF systems [11]. This can be circumvented by using piezoelectric MEMS resonators (e.g., [64,141,142,340,341]) that can have lower motional resistances on the order of 50 Ω. Moreover, resonator arraying can mitigate the large motional resistance in the case of electrostatic resonators [79]. Other works include a post filter trans-impedance amplifier to increase the signal strength after the filter, at the cost of increased power consumption and no noise figure benefit [337,338].
Regardless of these challenges, piezoelectric bulk acoustic wave (BAW) MEMS resonators have successfully been demonstrated in receivers [15,211] to perform PLL-free frequency synthesis and channel selection at RF.

9. Conclusions

In this review paper, we have endeavored to illustrate the diversity of progress made in the field of micromachined resonators and the divergence in approaches and applications that have been pursued over the last three decades. We began with a description of the basic model and properties of a generic micromachined resonator as the unifying and starting spring board from which the various key aspects of interest pertaining to resonator design and implementation were subsequently described. We have seen that there exists not only a great diversity in the vibration modes reported in the literature but also a plethora of approaches in the fabrication technology as well as materials. While the field of started off with single-crystal silicon and polysilicon processing dominating the literature, this review has shown the great diversity of process technologies that illustrate the divergence of the field today represented by piezoelectric thin films (e.g., AlN, PZT, ZnO) and bulk materials (e.g., LiNbO3) as well as micromachining in standard CMOS fabrication technology. We have also presented the variety of transduction mechanisms that have been actively employed during the progress of this field in addition to capacitive transduction that was the most common method in the early days of development. This variety of transduction mechanisms includes recent findings suggesting that thermal actuation has a place for actuation in high frequency resonators. While analytical modeling of damping mechanisms remains highly complex and out of reach, numerical methods for capturing specific energy loss mechanisms such as anchor loss have become highly popular among designers. Advancement in the field of microresonators has gone beyond the resonator itself to include interface electronics such as in the case of implementing oscillators. We have described key circuit topologies that have been employed to realize MEMS oscillators, as well as developments in addressing the issue of temperature compensation. With respect to applications, we have shown how resonators constitute key elements in radiofrequency frequency control, sensing (mass and force) and timing references. We envisage that the range of applications for resonators will expand further with increasing advancement in their fabrication, design and analysis.

Acknowledgments

This work was supported by a grant from the Hong Kong Research Grants Council under project number CityU 11206115, by grants of the Natural Sciences and Engineering Research Council of Canada (NSERC), and by a grant of the Research Funds of Quebec on Nature and Technologies (FRQNT).

Author Contributions

The co-authors contributed equally to the writing of this article. Reza Abdolvand was in charge of Section 5 and Section 6. Behraad Bahreyni was responsible for Section 2, Section 3, and Section 8.3. Joshua Lee wrote Section 4 and Section 7. Frederic Nabki contributed to Section 8.1, Section 8.2, and Section 8.4.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Yole Développement. MEMS Markets: Status of the MEMS Industry—Market & Technology Report; Yole Développement: Villeurbanne, France, 2015. [Google Scholar]
  2. Nathanson, H.C.; Newell, W.E.; Wickstrom, R.A.; Davis, J.R. The resonant gate transistor. IEEE Trans. Electron. Devices 1967, 14, 117–133. [Google Scholar] [CrossRef]
  3. Hauptmann, P. Resonant sensors and applications. Sens. Actuators Phys. 1991, 26, 371–377. [Google Scholar] [CrossRef]
  4. Vittoz, E.A.; Degrauwe, M.G.R.; Bitz, S. High-performance crystal oscillator circuits: Theory and application. IEEE J. Solid-State Circuits 1988, 23, 774–783. [Google Scholar] [CrossRef]
  5. Razavi, B. Architectures and circuits for RF CMOS receivers. In Proceedings of the IEEE 1998 Custom Integrated Circuits Conference, Santa Clara, CA, USA, 11–14 May 1998; pp. 393–400.
  6. Partridge, A.; Lee, H.-C.; Hagelin, P.; Menon, V. We know that MEMS is replacing quartz. But why? And why now? In 2013 Joint European Frequency and Time Forum International Frequency Control Symposium (EFTF/IFC2013); IEEE: Prague, Czech Republic, 2013; pp. 411–416. [Google Scholar]
  7. Nguyen, C.T.-C.; Katehi, L.P.B.; Rebeiz, G.M. Micromachined devices for wireless communications. Proc. IEEE 1998, 86, 1756–1768. [Google Scholar] [CrossRef]
  8. Nguyen, C.T.-C. MEMS technology for timing and frequency control. IEEE Trans. Ultrason. Ferroelectr. Freq. Control 2007, 54, 251–270. [Google Scholar] [CrossRef] [PubMed]
  9. Basu, J.; Bhattacharyya, T.K. Microelectromechanical resonators for radio frequency communication applications. Microsyst. Technol. 2011, 17, 1557–1580. [Google Scholar] [CrossRef]
  10. Van Beek, J.T.M.; Puers, R. A review of MEMS oscillators for frequency reference and timing applications. J. Micromech. Microeng. 2012, 22, 13001. [Google Scholar] [CrossRef]
  11. Nguyen, C.T.-C. MEMS-based RF channel selection for true software-defined cognitive radio and low-power sensor communications. IEEE Commun. Mag. 2013, 51, 110–119. [Google Scholar] [CrossRef]
  12. Uranga, A.; Verd, J.; Barniol, N. CMOS–MEMS resonators: From devices to applications. Microelectron. Eng. 2015, 132, 58–73. [Google Scholar] [CrossRef]
  13. Tang, W.C.; Nguyen, T.-C.H.; Howe, R.T. Laterally driven polysilicon resonant microstructures. In Proceedings of the 2nd IEEE Micro Electro Mechanical Systems Conference, MEMS’89, Salt Lake City, UT, USA, 20–22 February1989; pp. 53–59.
  14. Nguyen, C.T.-C. Micromechanical resonators for oscillators and filters. In Proceedings of the 1995 IEEE Ultrasonics Symposium, Seattle, WA, USA, 7–10 November 1995; Volume 1, pp. 489–499.
  15. Heragu, A.; Ruffieux, D.; Enz, C. A Low Power BAW Resonator Based 2.4-GHz Receiver With Bandwidth Tunable Channel Selection Filter at RF. IEEE J. Solid-State Circuits 2013, 48, 1343–1356. [Google Scholar] [CrossRef]
  16. Lu, J.; Ikehara, T.; Zhang, Y.; Mihara, T.; Maeda, R. Mechanical quality factor of microcantilevers for mass sensing applications. In Proceedings of the International Society for Optical Engineering 6800, Canberra, Australia, 5 December 2007.
  17. Rasouli, M.A.; HajHashemi, M.S.; Bahreyni, B. Higher-order Lame mode square microresonator with improved quality factor and f-Q product. In Proceedings of the 2013 Transducers Eurosensors XXVII: The 17th International Conference on Solid-State Sensors, Actuators and Microsystems, Barcelona, Spain, 16–20 June 2013; pp. 498–501.
  18. Wang, K.; Wong, A.-C.; Nguyen, C.T.-C. VHF Free–Free Beam High-Q Micromechanical Resonators. IEEE J. Microelectromech. Syst. 2000, 9, 347–360. [Google Scholar] [CrossRef]
  19. Ruby, R.; Merchant, P. Micromachined thin film bulk acoustic resonators. In Proceedings of the 1994 IEEE International Frequency Control Symposium, Boston, MA, USA, 1–3 June 1994; pp. 135–138.
  20. Ekinci, K.L.; Roukes, M.L. Nanoelectromechanical systems. Rev. Sci. Instrum. 2005, 76, 61101. [Google Scholar] [CrossRef]
  21. Bahreyni, B. Fabrication and Design of Resonant Microdevices; William Andrew Publishing: New York, NY, USA, 2008. [Google Scholar]
  22. Graff, K.F. Wave Motion in Elastic Solids; Oxford University Press: Oxford, UK, 1975. [Google Scholar]
  23. Thomson, W.T. Theory of Vibration with Applications, 2nd ed.; Prentice-Hall: Englewood Cliffs, NJ, USA, 1981. [Google Scholar]
  24. Senturia, S.D. Microsystem Design; Kluwer Academic Publishers: Boston, MA, USA, 2000. [Google Scholar]
  25. Tilmans, H.A.C. Equivalent circuit representation of electromechanical transducers: I. Lumped-parameter systems. J. Micromech. Microeng. 1996, 6, 157–176. [Google Scholar] [CrossRef]
  26. Tilmans, H.A.C. Equivalent circuit representation of electromechanical transducers: II. Distributed-parameter systems. J. Micromech. Microeng. 1997, 7, 285–309. [Google Scholar] [CrossRef]
  27. Chan, H.B.; Aksyuk, V.A.; Kleiman, R.N.; Bishop, D.J.; Capasso, F. Nonlinear Micromechanical Casimir Oscillator. Phys. Rev. Lett. 2001, 87, 211801-1–211801-4. [Google Scholar] [CrossRef] [PubMed]
  28. Gui, C.; Legtenberg, R.; Tilmans, H.A.C.; Fluitman, J.H.J.; Elwenspoek, M. Nonlinearity and Hysteresis of Resonant Strain Gauges. IEEE J. Microelectromech. Syst. 1998, 7, 122–127. [Google Scholar]
  29. Kaajakari, V.; Mattila, T.; Oja, A.; Seppä, H. Nonlinear Limits for Single-Crystal Silicon Microresonators. IEEE J. Microelectromech. Syst. 2004, 13, 715–724. [Google Scholar] [CrossRef]
  30. Kaajakari, V.; Mattila, T.; Lipsanen, A.; Oja, A. Nonlinear mechanical effects in silicon longitudinal mode beam resonators. Sens. Actuators Phys. 2004, 120, 64–70. [Google Scholar] [CrossRef]
  31. Bourouina, T.; Garnier, A.; Fujita, H.; Masuzawa, T.; Peuzin, J.-C. Mechanical nonlinearities in a magnetically actuated resonator. J. Micromech. Microeng. 2000, 10, 265–270. [Google Scholar] [CrossRef]
  32. Younis, M.I.; Nayfeh, A.H. A Study of the Nonlinear Response of a Resonant Microbeam to an Electric Actuation. Nonlinear Dyn. 2003, 31, 91–117. [Google Scholar] [CrossRef]
  33. Zhang, W.; Meng, G. Nonlinear dynamical system of micro-cantilever under combined parametric and forcing excitations in MEMS. Sens. Actuators Phys. 2005, 119, 291–299. [Google Scholar] [CrossRef]
  34. King, J.A. (Ed.) Materials Handbook for Hybrid Microelectronics; Artech House: Norwood, MA, USA, 1988.
  35. Shahmohammadi, M.; Fatemi, H.; Abdolvand, R. Nonlinearity reduction in silicon resonators by doping and re-orientation. In Proceedings of the 2013 IEEE 26th International Conference on Micro Electro Mechanical Systems (MEMS), Taipei, Taiwan, 20–24 January 2013; pp. 793–796.
  36. Landau, L.D.; Lifshitz, E.M. Theory of Elasticity, 3rd ed.; Butterworth Heinemann: Oxford, UK, 1976. [Google Scholar]
  37. Nayfeh, A.H.; Mook, D.T. Nonlinear Oscillations; John Wiley & Sons: New York, NY, USA, 2008. [Google Scholar]
  38. Taheri-Tehrani, P.; Kline, M.; Izyumin, I.; Eminoglu, B.; Yeh, Y.C.; Yang, Y.; Chen, Y.; Flader, I.; Ng, E.J.; Kenny, T.W.; et al. Epitaxially-encapsulated quad mass gyroscope with nonlinearity compensation. In Proceedings of the 2016 IEEE 29th International Conference on Micro Electro Mechanical Systems (MEMS), Shanghai, China, 24–28 January 2016; pp. 966–969.
  39. Shao, L.C.; Palaniapan, M.; Tan, W.W. The nonlinearity cancellation phenomenon in micromechanical resonators. J. Micromech. Microeng. 2008, 18, 65014. [Google Scholar] [CrossRef]
  40. Blevins, R.D. Formulas for Natural Frequency and Mode Shape; Krieger Pub Company: Malabar, FL, USA, 2001. [Google Scholar]
  41. Li, M.; Tang, H.X.; Roukes, M.L. Ultra-sensitive NEMS-based cantilevers for sensing, scanned probe and very high-frequency applications. Nat. Nanotechnol. 2007, 2, 114–120. [Google Scholar] [CrossRef] [PubMed]
  42. Lee, J.; Shen, W.; Payer, K.; Burg, T.P.; Manalis, S.R. Toward Attogram Mass Measurements in Solution with Suspended Nanochannel Resonators. Nano Lett. 2010, 10, 2537–2542. [Google Scholar] [CrossRef] [PubMed]
  43. Burg, T.P.; Manalis, S.R. Suspended microchannel resonators for biomolecular detection. Appl. Phys. Lett. 2003, 83, 2698–2700. [Google Scholar] [CrossRef]
  44. Arlett, J.L.; Maloney, J.R.; Gudlewski, B.; Muluneh, M.; Roukes, M.L. Self-Sensing Micro- and Nanocantilevers with Attonewton-Scale Force Resolution. Nano Lett. 2006, 6, 1000–1006. [Google Scholar] [CrossRef]
  45. Burg, T.P.; Godin, M.; Knudsen, S.M.; Shen, W.; Carlson, G.; Foster, J.S.; Babcock, K.; Manalis, S.R. Weighing of biomolecules, single cells and single nanoparticles in fluid. Nature 2007, 446, 1066–1069. [Google Scholar] [CrossRef] [PubMed]
  46. Yang, Y.T.; Ekinci, K.L.; Huang, X.M.H.; Schiavone, L.M.; Roukes, M.L.; Zorman, C.A.; Mehregany, M. Monocrystalline silicon carbide nanoelectromechanical systems. Appl. Phys. Lett. 2001, 78, 162–164. [Google Scholar] [CrossRef]
  47. Cleland, A.N.; Roukes, M.L. Fabrication of high frequency nanometer scale mechanical resonators from bulk Si crystals. Appl. Phys. Lett. 1996, 69, 18–2653. [Google Scholar] [CrossRef]
  48. Yang, Y.T.; Callegari, C.; Feng, X.L.; Ekinci, K.L.; Roukes, M.L. Zeptogram-Scale Nanomechanical Mass Sensing. Nano Lett. 2006, 6, 583–586. [Google Scholar] [CrossRef] [PubMed]
  49. Feng, X.L.; White, C.J.; Hajimiri, A.; Roukes, M.L. A self-sustaining ultrahigh-frequency nanoelectromechanical oscillator. Nat. Nanotechnol. 2008, 3, 342–346. [Google Scholar] [CrossRef] [PubMed]
  50. Ekinci, K.L. Ultimate limits to inertial mass sensing based upon nanoelectromechanical systems. J. Appl. Phys. 2004, 95, 2682. [Google Scholar] [CrossRef]
  51. Naik, A.K.; Hanay, M.S.; Hiebert, W.K.; Feng, X.L.; Roukes, M.L. Towards single-molecule nanomechanical mass spectrometry. Nat. Nanotechnol. 2009, 4, 445–450. [Google Scholar] [CrossRef] [PubMed]
  52. Huang, X.M.H.; Feng, X.L.; Zorman, C.A.; Mehregany, M.; Roukes, M.L. VHF, UHF and microwave frequency nanomechanical resonators. New J. Phys. 2005, 7, 247–247. [Google Scholar] [CrossRef]
  53. Lu, Y.; Horsley, D.A. Modeling, Fabrication, and Characterization of Piezoelectric Micromachined Ultrasonic Transducer Arrays Based on Cavity SOI Wafers. J. Microelectromech. Syst. 2015, 24, 1142–1149. [Google Scholar] [CrossRef]
  54. Rufer, L.; Domingues, C.C.; Mir, S.; Petrini, V.; Jeannot, J.-C.; Delobelle, P. A CMOS Compatible Ultrasonic Transducer Fabricated With Deep Reactive Ion Etching. J. Microelectromech. Syst. 2006, 15, 1766–1776. [Google Scholar] [CrossRef]
  55. Mattila, T.; Kiihamäki, J.; Lamminmäki, T.; Jaakkola, O.; Rantakari, P.; Oja, A.; Seppä, H.; Kattelus, H.; Tittonen, I. A 12 MHz micromechanical bulk acoustic mode oscillator. Sens. Actuators Phys. 2002, 101, 1–9. [Google Scholar] [CrossRef]
  56. Rahafrooz, A.; Pourkamali, S. High-Frequency Thermally Actuated Electromechanical Resonators with Piezoresistive Readout. IEEE Trans. Electron Devices 2011, 58, 1205–1214. [Google Scholar] [CrossRef]
  57. Zhu, H.; Tu, C.; Lee, J.E.Y.; Rufer, L. Active electronic cancellation of nonlinearity in a High-Q longitudinal-mode silicon resonator by current biasing. In Proceedings of the 2014 European Frequency and Time Forum (EFTF), Neuchatel, Switzerland, 23–26 June 2014; pp. 12–15.
  58. Pourkamali, S.; Ho, G.K.; Ayazi, F. Low-Impedance VHF and UHF Capacitive Silicon Bulk Acoustic Wave Resonators—Part I: Concept and Fabrication. IEEE Trans. Electron Devices 2007, 54, 2017–2023. [Google Scholar] [CrossRef]
  59. GHo, K.; Abdolvand, R.; Sivapurapu, A.; Humad, S.; Ayazi, F. Piezoelectric-on-Silicon Lateral Bulk Acoustic Wave Micromechanical Resonators. J. Microelectromech. Syst. 2008, 17, 512–520. [Google Scholar]
  60. Kaajakari, V.; Mattila, T.; Oja, A.; Kiihamaki, J.; Seppa, H. Square-Extensional Mode Single-Crystal Silicon Micromechanical Resonator for Low-Phase-Noise Oscillator Applications. IEEE Electron Device Lett. 2004, 25, 173–175. [Google Scholar] [CrossRef]
  61. Lee, J.E.-Y.; Zhu, Y.; Seshia, A.A. A bulk acoustic mode single-crystal silicon microresonator with a high-quality factor. J. Micromech. Microeng. 2008, 18, 64001. [Google Scholar] [CrossRef]
  62. Clark, J.R.; Hsu, W.-T.; Abdelmoneum, M.A.; Nguyen, C.T.-C. High-Q UHF micromechanical radial-contour mode disk resonators. J. Microelectromech. Syst. 2005, 14, 1298–1310. [Google Scholar] [CrossRef]
  63. Wang, J.; Ren, Z.; Nguyen, C.T.-C. 1.156-GHz self-aligned vibrating micromechanical disk resonator. IEEE Trans. Ultrason. Ferroelectr. Freq. Control 2004, 51, 1607–1628. [Google Scholar] [CrossRef] [PubMed]
  64. Piazza, G.; Stephanou, P.J.; Pisano, A.P. One and two port piezoelectric higher order contour-mode MEMS resonators for mechanical signal processing. Solid-State Electron. 2007, 51, 1596–1608. [Google Scholar] [CrossRef]
  65. Piazza, G.; Stephanou, P.J.; Pisano, A.P. Piezoelectric Aluminum Nitride Vibrating Contour-Mode MEMS Resonators. J. Microelectromech. Syst. 2006, 15, 1406–1418. [Google Scholar] [CrossRef]
  66. Ruby, R.C.; Bradley, P.; Oshmyansky, Y.; Chien, A.; Larson, J.D. Thin film bulk wave acoustic resonators (FBAR) for wireless applications. In Proceedings of the 2001 IEEE Ultrasonics Symposium, Atlanta, GA, USA, 7–10 October 2001; Volume 1, pp. 813–821.
  67. Lee, J.E.-Y.; Yan, J.; Seshia, A.A. Low loss HF band SOI wine glass bulk mode capacitive square-plate resonator. J. Micromech. Microeng. 2009, 19, 74003. [Google Scholar] [CrossRef]
  68. Zhu, H.; Lee, J.E.-Y. Reversed Nonlinear Oscillations in Lame-Mode Single-Crystal-Silicon Microresonators. IEEE Electron Device Lett. 2012, 33, 1492–1494. [Google Scholar] [CrossRef]
  69. Zhu, H.; Shan, G.C.; Shek, C.H.; Lee, J.E.-Y. Shear dependent nonlinear vibration in a high quality factor single crystal silicon micromechanical resonator. Appl. Phys. Lett. 2012, 101, 34102. [Google Scholar] [CrossRef]
  70. Lin, A.T.-H.; Yan, J.; Seshia, A.A. Electrostatically transduced face-shear mode silicon MEMS microresonator. In Proceedings of the 2010 IEEE International Frequency Control Symposium, Newport Beach, CA, USA, 1–4 June 2010; pp. 534–538.
  71. Shao, L.; Palaniapan, M. Effect of etch holes on quality factor of bulk-mode micromechanical resonators. Electron. Lett. 2008, 44, 938–939. [Google Scholar] [CrossRef]
  72. Tu, C.; Lee, J.E.-Y. Thermoelastic Dissipation in Etch-Hole Filled Lame Bulk-Mode Silicon Microresonators. IEEE Electron Device Lett. 2012, 33, 450–452. [Google Scholar] [CrossRef]
  73. Lee, J.E.-Y.; Seshia, A.A. 5.4-MHz single-crystal silicon wine glass mode disk resonator with quality factor of 2 million. Sens. Actuators Phys. 2009, 156, 28–35. [Google Scholar] [CrossRef]
  74. Hao, Z.; Pourkamali, S.; Ayazi, F. VHF single-crystal silicon elliptic bulk-mode capacitive disk resonators-part I: Design and modeling. J. Microelectromech. Syst. 2004, 13, 1043–1053. [Google Scholar] [CrossRef]
  75. Wei, X.; Seshia, A.A. Analytical formulation of modal frequency split in the elliptical mode of SCS micromechanical disk resonators. J. Micromech. Microeng. 2014, 24, 25011. [Google Scholar] [CrossRef]
  76. Rasouli, M.A.; Bahreyni, B. Independent tuning of frequency and quality factor of microresonators. Appl. Phys. Lett. 2011, 98, 243508:1–243508:3. [Google Scholar] [CrossRef]
  77. Cleland, A.N.; Roukes, M.L. A nanometre-scale mechanical electrometer. Nature 1998, 392, 160–162. [Google Scholar] [CrossRef]
  78. Herrera-May, A.L.; García-Ramírez, P.J.; Aguilera-Cortés, L.A.; Martínez-Castillo, J.; Sauceda-Carvajal, A.; García-González, L.; Figueras-Costa, E. A resonant magnetic field microsensor with high quality factor at atmospheric pressure. J. Micromech. Microeng. 2009, 19, 15016. [Google Scholar] [CrossRef]
  79. Demirci, M.U.; Nguyen, C.T.C. Mechanically Corner-Coupled Square Microresonator Array for Reduced Series Motional Resistance. J. Microelectromech. Syst. 2006, 15, 1419–1436. [Google Scholar] [CrossRef]
  80. Li, S.-S.; Lin, Y.-W.; Ren, Z.; Nguyen, C.T.-C. An MSI Micromechanical Differential Disk-Array Filter. In Proceedings of the 2007 International Solid-State Sensors, Actuators and Microsystems Conference, Lyon, France, 10–14 June 2007; pp. 307–311.
  81. Li, S.-S.; Lin, Y.-W.; Ren, Z.; Nguyen, C.T.-C. A Micromechanical Parallel-Class Disk-Array Filter. In Proceedings of the 2007 IEEE International Frequency Control Symposium, Geneva, Switzerland, 29 May–1 June 2007; pp. 1356–1361.
  82. Lee, S.; Nguyen, C.T.-C. Mechanically-coupled micromechanical resonator arrays for improved phase noise. In Proceedings of the 2004 IEEE InternationalFrequency Control Symposium and Exposition, Montreal, QC, Canada, 23–27 August 2004; pp. 144–150.
  83. Lin, Y.-W.; Li, S.-S.; Ren, Z.; Nguyen, C.T.-C. Low phase noise array-composite micromechanical wine-glass disk oscillator. In Proceedings of the IEEE International Electron Devices Meeting on IEDM Technical Digest, Washington, DC, USA, 5–7 December 2005; pp. 289–290.
  84. Iqbal, A.; Lee, J.E.-Y. Piezoresistive Sensing in a SOI Mechanically Coupled Micromechanical Multiple-Resonator Array. IEEE Trans. Electron. Devices 2012, 59, 3091–3096. [Google Scholar] [CrossRef]
  85. Zhu, H.; Xu, Y.; Lee, J.E.-Y. Piezoresistive Readout Mechanically Coupled Lame Mode SOI Resonator With Q of a Million. J. Microelectromech. Syst. 2015, 24, 771–780. [Google Scholar] [CrossRef]
  86. Bannon, F.D.; Clark, J.R.; Nguyen, C.T.-C. High-Q HF microelectromechanical filters. IEEE J. Solid-State Circuits 2000, 35, 512–526. [Google Scholar] [CrossRef]
  87. Hajhashemi, M.S.; Amini, A.; Bahreyni, B. A micromechanical bandpass filter with adjustable bandwidth and bidirectional control of centre frequency. Sens. Actuators Phys. 2012, 187, 10–15. [Google Scholar] [CrossRef]
  88. Pourkamali, S.; Ayazi, F. Electrically coupled MEMS bandpass filters: Part I: With coupling element. Sens. Actuators Phys. 2005, 122, 307–316. [Google Scholar] [CrossRef]
  89. Thiruvenkatanathan, P.; Woodhouse, J.; Yan, J.; Seshia, A.A. Manipulating Vibration Energy Confinement in Electrically Coupled Microelectromechanical Resonator Arrays. J. Microelectromech. Syst. 2011, 20, 157–164. [Google Scholar] [CrossRef]
  90. Thiruvenkatanathan, P.; Yan, J.; Woodhouse, J.; Aziz, A.; Seshia, A.A. Ultrasensitive mode-localized mass sensor with electrically tunable parametric sensitivity. Appl. Phys. Lett. 2010, 96, 81913. [Google Scholar] [CrossRef]
  91. Thiruvenkatanathan, P.; Yan, J.; Seshia, A.A. Differential amplification of structural perturbations in weakly coupled MEMS resonators. IEEE Trans. Ultrason. Ferroelectr. Freq. Control 2010, 57, 690–697. [Google Scholar] [CrossRef] [PubMed]
  92. Lin, C.-M.; Lai, Y.-J.; Hsu, J.-C.; Chen, Y.-Y.; Senesky, D.G.; Pisano, A.P. High-Q aluminum nitride Lamb wave resonators with biconvex edges. Appl. Phys. Lett. 2011, 99, 143501. [Google Scholar] [CrossRef]
  93. Tabrizian, R.; Ayazi, F. Thermo-acoustic engineering of silicon microresonators via evanescent waves. Appl. Phys. Lett. 2015, 106, 263504. [Google Scholar] [CrossRef]
  94. Brand, O.; Dufour, I.; Heinrich, S. Resonant MEMS: Fundamentals, Implementation, and Application; Wiley: New York, NY, USA, 2015. [Google Scholar]
  95. Cho, Y.-H.; Pisano, A.P.; Howe, R.T. Viscous damping model for laterally oscillating microstructures. J. Microelectromech. Syst. 1994, 3, 81–87. [Google Scholar] [CrossRef]
  96. Bao, M.; Yang, H. Squeeze film air damping in MEMS. Sens. Actuators Phys. 2007, 136, 3–27. [Google Scholar] [CrossRef]
  97. Nayfeh, A.H.; Younis, M.I. A new approach to the modeling and simulation of flexible microstructures under the effect of squeeze-film damping. J. Micromech. Microeng. 2004, 14, 170. [Google Scholar] [CrossRef]
  98. Hutcherson, S.; Ye, W. On the squeeze-film damping of micro-resonators in the free-molecule regime. J. Micromech. Microeng. 2004, 14, 1726. [Google Scholar] [CrossRef]
  99. Jimbo, Y.; Itao, K. Energy loss of a cantilever vibrator. J. Horol. Inst. Jpn. 1968, 47, 1–15. [Google Scholar]
  100. Hao, Z.; Erbil, A.; Ayazi, F. An analytical model for support loss in micromachined beam resonators with in-plane flexural vibrations. Sens. Actuators Phys. 2003, 109, 156–164. [Google Scholar] [CrossRef]
  101. Park, Y.-H.; Park, K.C. High-fidelity modeling of MEMS resonators. Part I. Anchor loss mechanisms through substrate. J. Microelectromech. Syst. 2004, 13, 238–247. [Google Scholar] [CrossRef]
  102. Bindel, D.S.; Govindjee, S. Elastic PMLs for resonator anchor loss simulation. Int. J. Numer. Methods Eng. 2005, 64, 789–818. [Google Scholar] [CrossRef]
  103. Lee, J.E.-Y.; Yan, J.; Seshia, A.A. Study of lateral mode SOI-MEMS resonators for reduced anchor loss. J. Micromech. Microeng. 2011, 21, 45010. [Google Scholar] [CrossRef]
  104. Pandey, M.; Reichenbach, R.B.; Zehnder, A.T.; Lal, A.; Craighead, H.G. Reducing Anchor Loss in MEMS Resonators Using Mesa Isolation. J. Microelectromech. Syst. 2009, 18, 836–844. [Google Scholar] [CrossRef]
  105. Harrington, B.P.; Abdolvand, R. In-plane acoustic reflectors for reducing effective anchor loss in lateral?extensional MEMS resonators. J. Micromech. Microeng. 2011, 21, 85021. [Google Scholar] [CrossRef]
  106. Mohammadi, S.; Eftekhar, A.A.; Hunt, W.D.; Adibi, A. High-Q micromechanical resonators in a two-dimensional phononic crystal slab. Appl. Phys. Lett. 2009, 94, 51906. [Google Scholar] [CrossRef]
  107. Iii, R.H.O.; El-Kady, I. Microfabricated phononic crystal devices and applications. Meas. Sci. Technol. 2008, 20, 12002. [Google Scholar]
  108. Sorenson, L.; Fu, J.L.; Ayazi, F. One-dimensional linear acoustic bandgap structures for performance enhancement of AlN-on-Silicon micromechanical resonators. In Proceedings of the 2011 16th International Solid-State Sensors, Actuators and Microsystems Conference, Beijing, China, 5–9 June 2011; pp. 918–921.
  109. Lin, C.-M.; Hsu, J.-C.; Senesky, D.G.; Pisano, A.P. Anchor loss reduction in ALN Lamb wave resonators using phononic crystal strip tethers. In Proceedings of the 2014 IEEE International Frequency Control Symposium (FCS), Taipei, Taiwan, 19–22 May 2014; pp. 1–5.
  110. Nowick, A.S.; Berry, B.S. Anelastic Relaxation in Crystalline Solids; Academic Press: New York, NY, USA, 1972. [Google Scholar]
  111. Ghaffari, S.; Chandorkar, S.A.; Wang, S.; Ng, E.J.; Ahn, C.H.; Hong, V.; Yang, Y.; Kenny, T.W. Quantum Limit of Quality Factor in Silicon Micro and Nano Mechanical Resonators. Sci. Rep. 2013, 3, 3244. [Google Scholar] [CrossRef] [PubMed]
  112. Lifshitz, R.; Roukes, M.L. Thermoelastic damping in micro- and nanomechanical systems. Phys. Rev. B 2000, 61, 5600. [Google Scholar] [CrossRef]
  113. Prabhakar, S.; Vengallatore, S. Theory of Thermoelastic Damping in Micromechanical Resonators with Two-Dimensional Heat Conduction. J. Microelectromech. Syst. 2008, 17, 494–502. [Google Scholar] [CrossRef]
  114. Wong, S.J.; Fox, C.H.J.; McWilliam, S. Thermoelastic damping of the in-plane vibration of thin silicon rings. J. Sound Vib. 2006, 293, 266–285. [Google Scholar] [CrossRef]
  115. Abdolvand, R.; Johari, H.; Ho, G.K.; Erbil, A.; Ayazi, F. Quality factor in trench-refilled polysilicon beam resonators. J. Microelectromech. Syst. 2006, 15, 471–478. [Google Scholar] [CrossRef]
  116. Guo, X.; Yi, Y.-B.; Pourkamali, S. A finite element analysis of thermoelastic damping in vented MEMS beam resonators. Int. J. Mech. Sci. 2013, 74, 73–82. [Google Scholar] [CrossRef]
  117. Duwel, A.; Candler, R.N.; Kenny, T.W.; Varghese, M. Engineering MEMS Resonators with Low Thermoelastic Damping. J. Microelectromech. Syst. 2006, 15, 1437–1445. [Google Scholar] [CrossRef]
  118. Prabhakar, S.; Vengallatore, S. Thermoelastic Damping in Hollow and Slotted Microresonators. J. Microelectromech. Syst. 2009, 18, 725–735. [Google Scholar] [CrossRef]
  119. Tabrizian, R.; Rais-Zadeh, M.; Ayazi, F. Effect of phonon interactions on limiting the f.Q product of micromechanical resonators. In Proceedings of the TRANSDUCERS 2009–2009 International Solid-State Sensors, Actuators and Microsystems Conference, Denver, CO, USA, 21–25 June 2009; pp. 2131–2134.
  120. Jonscher, A.K. Dielectric relaxation in solids. J. Phys. Appl. Phys. 1999, 32, R57. [Google Scholar] [CrossRef]
  121. Seoánez, C.; Guinea, F.; Neto, A.H.C. Surface dissipation in nanoelectromechanical systems: Unified description with the standard tunneling model and effects of metallic electrodes. Phys. Rev. B 2008, 77, 125107. [Google Scholar] [CrossRef]
  122. Yang, J.; Ono, T.; Esashi, M. Energy dissipation in submicrometer thick single-crystal silicon cantilevers. J. Microelectromech. Syst. 2002, 11, 775–783. [Google Scholar] [CrossRef]
  123. Pourkamali, S.; Hashimura, A.; Abdolvand, R.; Ho, G.K.; Erbil, A.; Ayazi, F. High-Q single crystal silicon HARPSS capacitive beam resonators with self-aligned sub-100-nm transduction gaps. IEEE J. Microelectromech. Syst. 2003, 12, 487–496. [Google Scholar] [CrossRef]
  124. Wang, J.; Butler, J.E.; Feygelson, T.; Nguyen, C.T.C. 1.51-GHz nanocrystalline diamond micromechanical disk resonator with material-mismatched isolating support. In Proceedings of the 2004 17th IEEE International Conference on Micro Electro Mechanical Systems (MEMS), Maastricht, The Netherlands, 2004; pp. 641–644.
  125. Rocheleau, T.O.; Naing, T.L.; Ren, Z.; Nguyen, C.T.-C. Acoustic whispering gallery mode resonator with Q > 109,000 at 515 MHz. In Proceedings of the 2012 IEEE 25th International Conference on Micro Electro Mechanical Systems (MEMS), Paris, France, 29 January–2 February 2012; pp. 672–675.
  126. Naing, T.L.; Beyazoglu, T.; Wu, L.; Akgul, M.; Ren, Z.; Rocheleau, T.O.; Nguyen, C.T.-C. 2.97-GHz CVD diamond ring resonator with Q > 40,000. In Proceedings of the 2012 IEEE International Frequency Control Symposium Proceedings, Baltimore, MD, USA, 21–24 May 2012; pp. 1–6.
  127. Akgul, M.; Kim, B.; Hung, L.-W.; Lin, Y.; Li, W.-C.; Huang, W.-L.; Gurin, I.; Borna, A.; Nguyen, C.T.-C. Oscillator far-from-carrier phase noise reduction via nano-scale gap tuning of micromechanical resonators. In Proceedings of the TRANSDUCERS 2009—2009 International Solid-State Sensors, Actuators and Microsystems Conference, Denver, CO, USA, 21–25 June 2009; pp. 798–801.
  128. Weinstein, D.; Bhave, S.A. Internal Dielectric Transduction in Bulk-Mode Resonators. J. Microelectromech. Syst. 2009, 18, 1401–1408. [Google Scholar] [CrossRef]
  129. Weinstein, D.; Bhave, S.A. Internal Dielectric Transduction of a 4.5 GHz Silicon Bar Resonator. In Proceedings of the 2007 IEEE International Electron Devices Meeting, Washington, DC, USA, 10–12 December 2007; pp. 415–418.
  130. Trolier-McKinstry, S.; Muralt, P. Thin Film Piezoelectrics for MEMS. J. Electroceramics 2004, 12, 7–17. [Google Scholar] [CrossRef]
  131. Newell, W.E.; Wickstrom, R.A. The tunistor: A mechanical resonator for microcircuits. IEEE Trans. Electron Devices 1969, 16, 781–787. [Google Scholar] [CrossRef]
  132. Wang, J.S.; Lakin, K.M. Sputtered AlN Films for Bulk-Acoustic-Wave Devices. In Proceedings of the 1981 Ultrasonics Symposium, Chicago, IL, USA, 14–16 October 1981; pp. 502–505.
  133. Piazza, G.; Abdolvand, R.; Ho, G.K.; Ayazi, F. Voltage-tunable piezoelectrically-transduced single-crystal silicon micromechanical resonators. Sens. Actuators Phys. 2004, 111, 71–78. [Google Scholar] [CrossRef]
  134. DDeVoe, L.; Pisano, A.P. Modeling and optimal design of piezoelectric cantilever microactuators. J. Microelectromech. Syst. 1997, 6, 266–270. [Google Scholar] [CrossRef]
  135. Abdolvand, R.; Ayazi, F. Enhanced Power Handling and Quality Factor in Thin-Film Piezoelectric-on-Substrate Resonators. In Proceedings of the IEEE Ultrasonics Symposium, New York, NY, USA, 28–31 October 2007; pp. 608–611.
  136. Abdolvand, R.; Ho, G.K.; Butler, J.; Ayazi, F. ZNO-on-nanocrystalline diamond lateral bulk acoustic resonators. In Proceedings of the IEEE 20th International Conference on Micro Electro Mechanical Systems (MEMS), Hyogo, Japan, 21–25 January 2007; pp. 795–798.
  137. Fatemi, H.; Abdolvand, R.; Zeng, H.; Carlisle, J. Very low-loss high frequency lateral-mode resonators on polished ultrananocrystalline diamond. In Proceedings of the 2011 Joint Conference of the IEEE International Frequency Control and the European Frequency and Time Forum (FCS) Proceedings, San Fransisco, CA, USA, 2–5 May 2011; pp. 1–5.
  138. Lavasani, H.M.; Pan, W.; Harrington, B.; Abdolvand, R.; Ayazi, F. A 76 dB 1.7 GHz 0.18 m CMOS Tunable TIA Using Broadband Current Pre-Amplifier for High Frequency Lateral MEMS Oscillators. IEEE J. Solid-State Circuits 2011, 46, 224–235. [Google Scholar] [CrossRef]
  139. Fatemi, H.; Shahmohammadi, M.; Abdolvand, R. Ultra-stable nonlinear thin-film piezoelectric-on-substrate oscillators operating at bifurcation. In Proceedings of the 2014 IEEE 27th International Conference on Micro Electro Mechanical Systems (MEMS), San Francisco, CA, USA, 26–30 January 2014; pp. 1285–1288.
  140. Rinaldi, M.; Zuniga, C.; Piazza, G. 5–10 GHz AlN Contour-Mode Nanoelectromechanical Resonators. In Proceedings of the IEEE 22nd International Conference on Micro Electro Mechanical Systems (MEMS 2009), Sorrento, Italy, 25–29 January 2009; pp. 916–919.
  141. Zuo, C.; Sinha, N.; Piazza, G. Very high frequency channel-select MEMS filters based on self-coupled piezoelectric AlN contour-mode resonators. Sens. Actuators Phys. 2010, 160, 132–140. [Google Scholar] [CrossRef]
  142. Piazza, G.; Stephanou, P.J.; Pisano, A.P. Single-Chip Multiple-Frequency ALN MEMS Filters Based on Contour-Mode Piezoelectric Resonators. J. Microelectromech. Syst. 2007, 16, 319–328. [Google Scholar] [CrossRef]
  143. Seo, J.H.; Brand, O. High Q-Factor In-Plane-Mode Resonant Microsensor Platform for Gaseous/Liquid Environment. J. Microelectromech. Syst. 2008, 17, 483–493. [Google Scholar]
  144. Rahafrooz, A.; Hajjam, A.; Tousifar, B.; Pourkamali, S. Thermal actuation, a suitable mechanism for high frequency electromechanical resonators. In Proceedings of the 2010 IEEE 23rd International Conference on Micro Electro Mechanical Systems (MEMS), Hong Kong, China, 24–28 Jaunary 2010; pp. 200–203.
  145. Smith, C. Piezoresistive effects in silicon and germanium. Phys. Rev. 1954, 94, 42–49. [Google Scholar] [CrossRef]
  146. Beardslee, L.A.; Addous, A.M.; Heinrich, S.; Josse, F.; Dufour, I.; Brand, O. Thermal Excitation and Piezoresistive Detection of Cantilever In-Plane Resonance Modes for Sensing Applications. J. Microelectromech. Syst. 2010, 19, 1015–1017. [Google Scholar] [CrossRef]
  147. Rahafrooz, A.; Pourkamali, S. Rotational mode disk resonators for high-Q operation in liquid. In Proceedings of the 2010 IEEE Sensors, Kona, HI, USA, 1–4 November 2010; pp. 1071–1074.
  148. Lin, A.T.-H.; Lee, J.E.-Y.; Yan, J.; Seshia, A.A. Methods for enhanced electrical transduction and characterization of micromechanical resonators. Sens. Actuators Phys. 2010, 158, 263–272. [Google Scholar] [CrossRef]
  149. Ekinci, K.L. Electromechanical Transducers at the Nanoscale: Actuation and Sensing of Motion in Nanoelectromechanical Systems (NEMS). Small 2005, 1, 786–797. [Google Scholar] [CrossRef] [PubMed]
  150. Feng, X.L.; He, R.; Yang, P.; Roukes, M.L. Very High Frequency Silicon Nanowire Electromechanical Resonators. 22 June 2007. Available online: http://pubs.acs.org/doi/abs/10.1021/nl0706695 (accessed on 1 May 2016).
  151. Van Beek, J.T.M.; Verheijden, G.J.A.M.; Koops, G.E.J.; Phan, K.L.; van der Avoort, C.; van Wingerden, J.; Badaroglu, D.E.; Bontemps, J.J.M. Scalable 1.1 GHz fundamental mode piezo-resistive silicon MEMS resonator. In Proceedings of the 2007 IEEE International Electron Devices Meeting, Washington, DC, USA, 10–12 December 2007; pp. 411–414.
  152. He, R.; Yang, P. Giant piezoresistance effect in silicon nanowires. Nat. Nanotechnol. 2006, 1, 42–46. [Google Scholar] [CrossRef] [PubMed]
  153. Mile, E.; Jourdan, G.; Bargatin, I.; Labarthe, S.; Marcoux, C.; Andreucci, P.; Hentz, S.; Kharrat, C.; Colinet, E.; Duraffourg, L. In-plane nanoelectromechanical resonators based on silicon nanowire piezoresistive detection. Nanotechnology 2010, 21, 165504. [Google Scholar] [CrossRef] [PubMed]
  154. Beroulle, V.; Bertrand, Y.; Latorre, L.; Nouet, P. Monolithic piezoresistive CMOS magnetic field sensors. Sens. Actuators Phys. 2003, 103, 23–32. [Google Scholar] [CrossRef]
  155. Lange, D.; Hagleitner, C.; Herzog, C.; Brand, O.; Baltes, H. Electromagnetic actuation and MOS-transistor sensing for CMOS-integrated micromechanical resonators. Sens. Actuators Phys. 2003, 103, 150–155. [Google Scholar] [CrossRef]
  156. Arcizet, O.; Cohadon, P.-F.; Briant, T.; Pinard, M.; Heidmann, A.; Mackowski, J.-M.; Michel, C.; Pinard, L.; Français, O.; Rousseau, L. High-Sensitivity Optical Monitoring of a Micromechanical Resonator with a Quantum-Limited Optomechanical Sensor. Phys. Rev. Lett. 2006, 97, 133601. [Google Scholar] [CrossRef] [PubMed]
  157. Ayazi, F.; Najafi, K. High aspect-ratio combined poly and single-crystal silicon (HARPSS) MEMS technology. J. Microelectromech. Syst. 2000, 9, 288–294. [Google Scholar] [CrossRef]
  158. Ziaei-Moayyed, M.; Elata, D.; Quévy, E.P.; Howe, R.T. Differential internal dielectric transduction of a Lamé-mode resonator. J. Micromech. Microeng. 2010, 20, 115036. [Google Scholar] [CrossRef]
  159. Lin, Y.-W.; Li, S.-S.; Xie, Y.; Ren, Z.; Nguyen, C.T.C. Vibrating micromechanical resonators with solid dielectric capacitive transducer gaps. In Proceedings of the 2005 IEEE International Frequency Control Symposium and Exposition, Vancouver, BC, USA, 29–31 August 2005; pp. 128–134.
  160. Cheng, T.J.; Bhave, S.A. High-Q, low impedance polysilicon resonators with 10 nm air gaps. In Proceedings of the 23rd IEEE International Conference on Micro Electro Mechanical Systems, Hong Kong, China, 24–28 January 2010; pp. 695–698.
  161. Zuo, C.; van der Spiegel, J.; Piazza, G. 1.05-GHz CMOS oscillator based on lateral- field-excited piezoelectric AlN contour- mode MEMS resonators. IEEE Trans. Ultrason. Ferroelectr. Freq. Control 2010, 57, 82–87. [Google Scholar] [PubMed]
  162. Zuo, C.; Sinha, N.; van der Spiegel, J.; Piazza, G. Multifrequency Pierce Oscillators Based on Piezoelectric AlN Contour-Mode MEMS Technology. J. Microelectromech. Syst. 2010, 19, 570–580. [Google Scholar]
  163. Rinaldi, M.; Zuniga, C.; Zuo, C.; Piazza, G. Super-high-frequency two-port AlN contour-mode resonators for RF applications. IEEE Trans. Ultrason. Ferroelectr. Freq. Control 2010, 57, 38–45. [Google Scholar] [CrossRef] [PubMed]
  164. Chandrahalim, H.; Bhave, S.A.; Polcawich, R.G.; Pulskamp, J.S.; Kaul, R. PZT transduction of high-overtone contour- mode resonators. IEEE Trans. Ultrason. Ferroelectr. Freq. Control 2010, 57, 2035–2041. [Google Scholar] [CrossRef] [PubMed]
  165. Thakar, V.A.; Wu, Z.; Peczalski, A.; Rais-Zadeh, M. Piezoelectrically Transduced Temperature-Compensated Flexural-Mode Silicon Resonators. J. Microelectromech. Syst. 2013, 22, 815–823. [Google Scholar] [CrossRef]
  166. Tu, C.; Lee, J.E.-Y. A semi-analytical modeling approach for laterally-vibrating thin-film piezoelectric-on-silicon micromechanical resonators. J. Micromech. Microeng. 2015, 25, 115020. [Google Scholar] [CrossRef]
  167. Abdolvand, R.; Lavasani, H.M.; Ho, G.K.; Ayazi, F. Thin-film piezoelectric-on-silicon resonators for high-frequency reference oscillator applications. IEEE Trans. Ultrason. Ferroelectr. Freq. Control 2008, 55, 2596–2606. [Google Scholar] [CrossRef] [PubMed]
  168. Lin, C.-M.; Chen, Y.-Y.; Felmetsger, V.V.; Senesky, D.G.; Pisano, A.P. AlN/3C-SiC Composite Plate Enabling High-Frequency and High-Q Micromechanical Resonators. Adv. Mater. 2012, 24, 2722–2727. [Google Scholar] [CrossRef] [PubMed]
  169. Lin, C.-M.; Chen, Y.-Y.; Pisano, A.P. Theoretical investigation of Lamb wave characteristics in AlN/3C–SiC composite membranes. Appl. Phys. Lett. 2010, 97, 193506. [Google Scholar] [CrossRef]
  170. Lin, C.-M.; Lien, W.-C.; Felmetsger, V.V.; Hopcroft, M.A.; Senesky, D.G.; Pisano, A.P. AlN thin films grown on epitaxial 3C–SiC (100) for piezoelectric resonant devices. Appl. Phys. Lett. 2010, 97, 141907. [Google Scholar] [CrossRef]
  171. Fatemi, H.; Abdolvand, R. Low-loss lateral-extensional piezoelectric filters on ultrananocrystalline diamond. IEEE Trans. Ultrason. Ferroelectr. Freq. Control 2013, 60, 1978–1988. [Google Scholar] [CrossRef] [PubMed]
  172. Fatemi, H.; Zeng, H.; Carlisle, J.; Abdolvand, R. High-Frequency Thin-Film AlN-on-Diamond Lateral–Extensional Resonators. J. Microelectromech. Syst. 2013, 22, 678–686. [Google Scholar] [CrossRef]
  173. Gong, S.; Piazza, G. Design and Analysis of Lithium Niobate-Based High Electromechanical Coupling RF-MEMS Resonators for Wideband Filtering. IEEE Trans. Microw. Theory Tech. 2013, 61, 403–414. [Google Scholar] [CrossRef]
  174. Shi, L.; Piazza, G. Lithium Niobate on Silicon Dioxide Suspended Membranes: A Technology Platform for Engineering the Temperature Coefficient of Frequency of High Electromechanical Coupling Resonators. J. Microelectromech. Syst. 2014, 23, 1318–1329. [Google Scholar] [CrossRef]
  175. Gong, S.; Piazza, G. Monolithic Multi-Frequency Wideband RF Filters Using Two-Port Laterally Vibrating Lithium Niobate MEMS Resonators. J. Microelectromech. Syst. 2014, 23, 1188–1197. [Google Scholar] [CrossRef]
  176. Wang, R.; Bhave, S.A.; Bhattacharjee, K. Design and Fabrication of S0 Lamb-Wave Thin-Film Lithium Niobate Micromechanical Resonators. J. Microelectromech. Syst. 2015, 24, 300–308. [Google Scholar] [CrossRef]
  177. Verd, J.; Abadal, G.; Teva, J.; Gaudo, M.V.; Uranga, A.; Borrise, X.; Campabadal, F.; Esteve, J.; Costa, E.F.; Perez-Murano, F.; et al. Design, fabrication, and characterization of a submicroelectromechanical resonator with monolithically integrated CMOS readout circuit. J. Microelectromech. Syst. 2005, 14, 508–519. [Google Scholar] [CrossRef]
  178. Uranga, A.; Verd, J.; López, J.L.; Teva, J.; Abadal, G.; Torres, F.; Esteve, J.; Pérez-Murano, F.; Barniol, N. Fully integrated MIXLER based on VHF CMOS-MEMS clamped-clamped beam resonator. Electron. Lett. 2007, 43, 452. [Google Scholar] [CrossRef]
  179. Uranga, A.; Teva, J.; Verd, J.; López, J.L.; Torres, F.; Esteve, J.; Abadal, G.; Pérez-Murano, F.; Barniol, N. Fully CMOS integrated low voltage 100 MHz MEMS resonator. Electron. Lett. 2005, 41, 1327. [Google Scholar] [CrossRef]
  180. Davis, Z.J.; Abadal, G.; Helbo, B.; Hansen, O.; Campabadal, F.; Pérez-Murano, F.; Esteve, J.; Figueras, E.; Verd, J.; Barniol, N.; et al. Monolithic integration of mass sensing nano-cantilevers with CMOS circuitry. Sens. Actuators Phys. 2003, 105, 311–319. [Google Scholar] [CrossRef]
  181. Lopez, J.L.; Verd, J.; Teva, J.; Murillo, G.; Giner, J.; Torres, F.; Uranga, A.; Abadal, G.; Barniol, N. Integration of RF-MEMS resonators on submicrometric commercial CMOS technologies. J. Micromech. Microeng. 2009, 19, 15002. [Google Scholar] [CrossRef]
  182. Verd, J.; Uranga, A.; Teva, J.; Lopez, J.L.; Torres, F.; Esteve, J.; Abadal, G.; Perez-Murano, F.; Barniol, N. Integrated CMOS-MEMS with on-chip readout electronics for high-frequency applications. IEEE Electron Device Lett. 2006, 27, 495–497. [Google Scholar] [CrossRef]
  183. Verd, J.; Uranga, A.; Abadal, G.; Teva, J.L.; Torres, F.; LÓpez, J.; PÉrez-Murano, F.; Esteve, J.; Barniol, N. Monolithic CMOS MEMS Oscillator Circuit for Sensing in the Attogram Range. IEEE Electron. Device Lett. 2008, 29, 146–148. [Google Scholar] [CrossRef]
  184. Chen, W.-C.; Li, M.-H.; Liu, Y.-C.; Fang, W.; Li, S.-S. A Fully Differential CMOS-MEMS DETF Oxide Resonator with Q > 4800 and Positive TCF. IEEE Electron Device Lett. 2012, 33, 721–723. [Google Scholar] [CrossRef]
  185. Li, M.-H.; Chen, C.-Y.; Liu, C.-Y.; Li, S.-S. A Sub-150 μW BEOL-Embedded CMOS-MEMS Oscillator with a 138 dBΩ Ultra-Low-Noise TIA. IEEE Electron Device Lett. 2016, 37, 648–651. [Google Scholar]
  186. Chen, W.-C.; Fang, W.; Li, S.-S. A generalized CMOS-MEMS platform for micromechanical resonators monolithically integrated with circuits. J. Micromech. Microeng. 2011, 21, 65012. [Google Scholar] [CrossRef]
  187. Chin, C.-H.; Li, M.-H.; Chen, C.-Y.; Wang, Y.-L.; Li, S.-S. A CMOS–MEMS arrayed resonant-gate field effect transistor (RGFET) oscillator. J. Micromech. Microeng. 2015, 25, 115025. [Google Scholar] [CrossRef]
  188. Cheng, Y.-T.; Hsu, W.-T.; Najafi, K.; Nguyen, C.T.-C.; Lin, L. Vacuum packaging technology using localized aluminum/silicon-to-glass bonding. J. Microelectromech. Syst. 2002, 11, 556–565. [Google Scholar] [CrossRef]
  189. Cheng, Y.T.; Lin, L.; Najafi, K. Localized silicon fusion and eutectic bonding for MEMS fabrication and packaging. J. Microelectromech. Syst. 2000, 9, 3–8. [Google Scholar] [CrossRef]
  190. Cheng, Y.-T.; Lin, L.; Najafi, K. A hermetic glass-silicon package formed using localized aluminum/silicon-glass bonding. J. Microelectromech. Syst. 2001, 10, 392–399. [Google Scholar] [CrossRef]
  191. Koskenvuori, M.; Mattila, T.; Häärä, A.; Kiihamäki, J.; Tittonen, I.; Oja, A.; Seppä, H. Long-term stability of single-crystal silicon microresonators. Sens. Actuators Phys. 2004, 115, 23–27. [Google Scholar] [CrossRef]
  192. Joseph, P.J.; Monajemi, P.; Ayazi, F.; Kohl, P.A. Wafer-Level Packaging of Micromechanical Resonators. IEEE Trans. Adv. Packag. 2007, 30, 19–26. [Google Scholar] [CrossRef]
  193. Monajemi, P.; Joseph, P.J.; Kohl, P.A.; Ayazi, F. Wafer-level MEMS packaging via thermally released metal-organic membranes. J. Micromech. Microeng. 2006, 16, 742. [Google Scholar] [CrossRef]
  194. Fang, J.; Fu, J.; Ayazi, F. Metal-organic thin-film encapsulation for MEMS. J. Micromech. Microeng. 2008, 18, 105002. [Google Scholar] [CrossRef]
  195. Candler, R.N.; Park, W.; Li, H.; Yama, G.; Partridge, A.; Lutz, M.; Kenny, T.W. Single wafer encapsulation of MEMS devices. IEEE Trans. Adv. Packag. 2003, 26, 227–232. [Google Scholar] [CrossRef]
  196. Yang, Y.; Ng, E.J.; Chen, Y.; Flader, I.B.; Kenny, T.W. A Unified Epi-Seal Process for Fabrication of High-Stability Microelectromechanical Devices. J. Microelectromech. Syst. 2016, 25, 489–497. [Google Scholar] [CrossRef]
  197. Ng, E.; Yang, Y.; Hong, V.A.; Ahn, C.H.; Heinz, D.B.; Flader, I.; Chen, Y.; Everhart, C.L.M.; Kim, B.; Melamud, R.; et al. The long path from MEMS resonators to timing products. In Proceedings of the 2015 28th IEEE International Conference on Micro Electro Mechanical Systems (MEMS), Estoril, Portugal, 18–22 January 2015; pp. 1–2.
  198. Heragu, A.; Ruffieux, D.; Enz, C. A 2.4-GHz MEMS-based PLL-free multi-channel receiver with channel filtering at RF. In Proceedings of the ESSCIRC, Bordeaux, France, 17–21 September 2012; pp. 137–140.
  199. Arumugam, N.; Hill, G.; Clark, G.; Arft, C.; Grosjean, C.; Palwai, R.; Pedicord, J.; Hagelin, P.; Partridge, A.; Menon, V.; et al. 2-die wafer-level chip scale packaging enables the smallest TCXO for mobile and wearable applications. In Proceedings of the 2015 IEEE 65th Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 26–29 May 2015; pp. 1338–1342.
  200. Barrow, H.G.; Naing, T.L.; Schneider, R.A.; Rocheleau, T.O.; Yeh, V.; Ren, Z.; Nguyen, C.T.-C. A real-time 32.768-kHz clock oscillator using a 0.0154-mm2 micromechanical resonator frequency-setting element. In Proceedings of the 2012 IEEE International Frequency Control Symposium (FCS), Baltimore, MD, USA, 21–24 May 2012; pp. 1–6.
  201. Asl, S.Z.; Mukherjee, S.; Chen, W.; Joo, K.; Palwai, R.; Arumugam, N.; Galle, P.; Phadke, M.; Grosjean, C.; Salvia, J.; et al. A 1.55 × 0.85 mm2 3 ppm 1.0 μA 32.768 kHz MEMS-based oscillator. In Proceedings of the 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, CA, USA, 9–13 February 2014; pp. 226–227.
  202. Zaliasl, S.; Salvia, J.C.; Hill, G.C.; Chen, L.W.; Joo, K.; Palwai, R.; Arumugam, N.; Phadke, M.; Mukherjee, S.; Lee, H.-C.; et al. A 3 ppm 1.5 × 0.8 mm2 1.0 μA 32.768 kHz MEMS-Based Oscillator. IEEE J. Solid-State Circuits 2015, 50, 291–302. [Google Scholar] [CrossRef]
  203. Ruffieux, D.; Krummenacher, F.; Pezous, A.; Spinola-Durante, G. Silicon Resonator Based 3.2 W Real Time Clock with 10 ppm Frequency Accuracy. IEEE J. Solid-State Circuits 2010, 45, 224–234. [Google Scholar] [CrossRef]
  204. Perrott, M.H.; Pamarti, S.; Hoffman, E.G.; Lee, F.S.; Mukherjee, S.; Lee, C.; Tsinker, V.; Perumal, S.; Soto, B.T.; Arumugam, N.; et al. A Low Area, Switched-Resistor Based Fractional-N Synthesizer Applied to a MEMS-Based Programmable Oscillator. IEEE J. Solid-State Circuits 2010, 45, 2566–2581. [Google Scholar] [CrossRef]
  205. Perrott, M.H.; Salvia, J.C.; Lee, F.S.; Partridge, A.; Mukherjee, S.; Arft, C.; Kim, J.; Arumugam, N.; Gupta, P.; Tabatabaei, S.; et al. A Temperature-to-Digital Converter for a MEMS-Based Programmable Oscillator with Frequency Stability and Integrated Jitter. IEEE J. Solid-State Circuits 2013, 48, 276–291. [Google Scholar] [CrossRef]
  206. Salvia, J.C.; Melamud, R.; Chandorkar, S.A.; Lord, S.F.; Kenny, T.W. Real-Time Temperature Compensation of MEMS Oscillators Using an Integrated Micro-Oven and a Phase-Locked Loop. J. Microelectromech. Syst. 2010, 19, 192–201. [Google Scholar] [CrossRef]
  207. Wu, Z.; Rais-Zadeh, M. A Temperature-Stable Piezoelectric MEMS Oscillator Using a CMOS PLL Circuit for Temperature Sensing and Oven Control. J. Microelectromech. Syst. 2015, 24, 1747–1758. [Google Scholar] [CrossRef]
  208. Nabki, F.; Allidina, K.; Ahmad, F.; Cicek, P.-V.; El-Gamal, M.N. A Highly Integrated 1.8 GHz Frequency Synthesizer Based on a MEMS Resonator. IEEE J. Solid-State Circuits 2009, 44, 2154–2168. [Google Scholar] [CrossRef]
  209. Chen, C.-Y.; Li, M.-H.; Li, C.-S.; Li, S.-S. Design and characterization of mechanically coupled CMOS-MEMS filters for channel-select applications. Sens. Actuators Phys. 2014, 216, 394–404. [Google Scholar] [CrossRef]
  210. Heragu, A.; Ruffieux, D.; Enz, C.C. A 2.4-GHz MEMS-Based PLL-Free Multi-Channel Receiver With Channel Filtering at RF. IEEE J. Solid-State Circuits 2013, 48, 1689–1700. [Google Scholar] [CrossRef]
  211. Otis, B.P.; Rabaey, J.M. A 300-mu;W 1.9-GHz CMOS oscillator utilizing micromachined resonators. IEEE J. Solid-State Circuits 2003, 38, 1271–1274. [Google Scholar] [CrossRef]
  212. Manzaneque, T.; Ruiz-Díez, V.; Hernando-García, J.; Wistrela, E.; Kucera, M.; Schmid, U.; Sánchez-Rojas, J.L. Piezoelectric MEMS resonator-based oscillator for density and viscosity sensing. Sens. Actuators Phys. 2014, 220, 305–315. [Google Scholar] [CrossRef]
  213. Roy, S.; Ramiah, H.; Reza, A.W. Designing a new high gain CMOS amplifier towards a 17.22 MHz MEMS based Si oscillator for a cost effective clock generator IC. IEICE Electron. Express 2015, 12, 20150272. [Google Scholar] [CrossRef]
  214. Arndt, G.; Colinet, E.; Arcamone, J.; Juillard, J. A design methodology for fully integrated MEMS and NEMS Pierce oscillators. Sens. Actuators Phys. 2011, 172, 293–300. [Google Scholar] [CrossRef]
  215. Nabki, F.; El-Gamal, M.N. A high gain-bandwidth product transimpedance amplifier for MEMS-based oscillators. In Proceedings of the 34th European Solid-State Circuits Conference (ESSCIRC), Edinburgh, UK, 15–19 September 2008; pp. 454–457.
  216. Nguyen, C.T.-C.; Howe, R.T. An integrated CMOS micromechanical resonator high-Q oscillator. IEEE J. Solid-State Circuits 1999, 34, 440–455. [Google Scholar] [CrossRef]
  217. Salvia, J.; Lajevardi, P.; Hekmat, M.; Murmann, B. A 56 MΩ CMOS TIA for MEMS applications. In Proceedings of the IEEE Custom Integrated Circuits Conference, CICC ’09, San Jose, CA, USA, 13–16 September 2009; pp. 199–202.
  218. Chen, W.C.; Fang, W.; Li, S.S. High- Integrated CMOS-MEMS Resonators with Deep-Submicrometer Gaps and Quasi-Linear Frequency Tuning. J. Microelectromech. Syst. 2012, 21, 688–701. [Google Scholar] [CrossRef]
  219. DeMartini, B.E.; Rhoads, J.F.; Turner, K.L.; Shaw, S.W.; Moehlis, J. Linear and Nonlinear Tuning of Parametrically Excited MEMS Oscillators. J. Microelectromech. Syst. 2007, 16, 310–318. [Google Scholar] [CrossRef]
  220. Pardo, M.; Sorenson, L.; Ayazi, F. An Empirical Phase-Noise Model for MEMS Oscillators Operating in Nonlinear Regime. IEEE Trans. Circuits Syst. Regul. Pap. 2012, 59, 979–988. [Google Scholar] [CrossRef]
  221. Agrawal, D.K.; Woodhouse, J.; Seshia, A.A. Modeling nonlinearities in MEMS oscillators. IEEE Trans. Ultrason. Ferroelectr. Freq. Control 2013, 60, 1646–1659. [Google Scholar] [CrossRef] [PubMed]
  222. Bouchami, A.; Nabki, F. Non-linear modeling of MEMS-based oscillators using an analog hardware description language. In Proceedings of the 2014 IEEE 12th International New Circuits and Systems Conference (NEWCAS), Trois-Rivieres, QC, Canada, 22–25 June 2014; pp. 257–260.
  223. Rocheleau, T.O.; Liu, R.; Nilchi, J.N.; Naing, T.L.; Nguyen, C.T.-C. A micromechanical parametric oscillator for frequency division and phase noise reduction. In Proceedings of the 2014 IEEE 27th International Conference on Micro Electro Mechanical Systems (MEMS), San Francisco, CA, USA, 26–30 Januray 2014; pp. 210–213.
  224. Kourani, A.; Hegazi, E.; Ismail, Y. A 76.8 MHz temperature compensated MEMS reference oscillator for wireless handsets. Microelectron. J. 2015, 46, 496–505. [Google Scholar] [CrossRef]
  225. Vig, J.R.; Kim, Y. Noise in microelectromechanical system resonators. IEEE Trans. Ultrason. Ferroelectr. Freq. Control 1999, 46, 1558–1565. [Google Scholar] [CrossRef] [PubMed]
  226. Agrawal, D.; Seshia, A. An analytical formulation for phase noise in MEMS oscillators. IEEE Trans. Ultrason. Ferroelectr. Freq. Control 2014, 61, 1938–1952. [Google Scholar] [CrossRef] [PubMed]
  227. Papin, G.; Levy, R.; Lissorgues, G.; Poulichet, P.; Masson, S.; Maréchal, B.; Guérard, J.; Janiaud, D.; Traon, O.L. Behavioural modelling of MEMS oscillators and phase noise simulation. Analog Integr. Circuits Signal Process. 2012, 72, 11–18. [Google Scholar] [CrossRef]
  228. Vittoz, E.A. The Design of Low-Power High-Q Oscillators. In MEMS-based Circuits and Systems for Wireless Communication; Enz, C.C., Kaiser, A., Eds.; Springer: Berlin, Germany, 2013; pp. 121–154. [Google Scholar]
  229. Wong, T.S.A.; Palaniapan, M. Micromechanical oscillator circuits: Theory and analysis. Analog Integr. Circuits Signal Process. 2008, 59, 21–30. [Google Scholar] [CrossRef]
  230. Lin, Y.-W.; Lee, S.; Li, S.-S.; Xie, Y.; Ren, Z.; Nguyen, C.T.-C. Series-resonant VHF micromechanical resonator reference oscillators. IEEE J. Solid-State Circuits 2004, 39, 2477–2491. [Google Scholar] [CrossRef]
  231. Leeson, D.B. A simple model of feedback oscillator noise spectrum. Proc. IEEE 1966, 54, 329–330. [Google Scholar] [CrossRef]
  232. Marigó, E.; Verd, J.; López, J.L.; Uranga, A.; Barniol, N. Packaged CMOS–MEMS free–free beam oscillator. J. Micromech. Microeng. 2013, 23, 115018. [Google Scholar] [CrossRef]
  233. Demir, A. Computing Timing Jitter From Phase Noise Spectra for Oscillators and Phase-Locked Loops With White and Noise. IEEE Trans. Circuits Syst. Regul. Pap. 2006, 53, 1869–1884. [Google Scholar] [CrossRef]
  234. Hajimiri, A.; Lee, T.H. A general theory of phase noise in electrical oscillators. IEEE J. Solid-State Circuits 1998, 33, 179–194. [Google Scholar] [CrossRef]
  235. Lavasani, H.M.; Abdolvand, R.; Ayazi, F. A 500 MHz Low Phase-Noise A1N-on-Silicon Reference Oscillator. In Proceedings of the IEEE Custom Integrated Circuits Conference, CICC ’07, San Jose, CA, USA, 16–19 September 2007; pp. 599–602.
  236. Samori, C.; Lacaita, A.L.; Villa, F.; Zappa, F. Spectrum folding and phase noise in LC tuned oscillators. IEEE Trans. Circuits Syst. II Analog Digit. Signal Process. 1998, 45, 781–790. [Google Scholar] [CrossRef]
  237. Lee, S.; Nguyen, C.T.-C. Influence of automatic level control on micromechanical resonator oscillator phase noise. In Proceedings of the 2003 IEEE International Frequency Control Symposium and PDA Exhibition Jointly with the 17th European Frequency and Time Forum, Tampa, FL, USA, 4–8 May 2003; pp. 341–349.
  238. Nabki, F.; El-Gamal, M.N. Modeling and simulation of micro electromechanical (MEM) beam resonator-based oscillators. In Proceedings of the IEEE International Symposium on Circuits and Systems, Seattle, WA, USA, 18–21 May 2008; pp. 1324–1327.
  239. Serrano, D.E.; Tabrizian, R.; Ayazi, F. Electrostatically tunable piezoelectric-on- silicon micromechanical resonator for real-time clock. IEEE Trans. Ultrason. Ferroelectr. Freq. Control 2012, 59, 358–365. [Google Scholar] [CrossRef] [PubMed]
  240. Lee, H.K.; Melamud, R.; Kim, B.; Hopcroft, M.A.; Salvia, J.C.; Kenny, T.W. Electrostatic Tuning to Achieve Higher Stability Microelectromechanical Composite Resonators. J. Microelectromech. Syst. 2011, 20, 1355–1365. [Google Scholar] [CrossRef]
  241. Sundaresan, K.; Ho, G.K.; Pourkamali, S.; Ayazi, F. Electronically Temperature Compensated Silicon Bulk Acoustic Resonator Reference Oscillators. IEEE J. Solid-State Circuits 2007, 42, 1425–1434. [Google Scholar] [CrossRef]
  242. Melamud, R.; Chandorkar, S.A.; Kim, B.; Lee, H.K.; Salvia, J.C.; Bahl, G.; Hopcroft, M.A.; Kenny, T.W. Temperature-Insensitive Composite Micromechanical Resonators. J. Microelectromech. Syst. 2009, 18, 1409–1419. [Google Scholar] [CrossRef]
  243. Tazzoli, A.; Piazza, G. UHF Clocks Based on Ovenized AlN MEMS Resonators. In Frequency References, Power Management for SoC, and Smart Wireless Interfaces; Baschirotto, A., Makinwa, K.A.A., Harpe, P., Eds.; Springer International Publishing: Basel, Switzerland, 2014; pp. 71–81. [Google Scholar]
  244. Li, M.-H.; Chen, C.-Y.; Li, C.-S.; Chin, C.-H.; Li, S.-S. A Monolithic CMOS-MEMS Oscillator Based on an Ultra-Low-Power Ovenized Micromechanical Resonator. J. Microelectromech. Syst. 2015, 24, 360–372. [Google Scholar] [CrossRef]
  245. Partridge, A.; Lee, H.-C.; Hagelin, P.; Menon, V. A MEMS TCXO with Sub-ppm Stability. In Frequency References, Power Management for SoC, and Smart Wireless Interfaces; Baschirotto, A., Makinwa, K.A.A., Harpe, P., Eds.; Springer International Publishing: Basel, Switzerland, 2014; pp. 41–54. [Google Scholar]
  246. Van Beek, J.T.M.; van der Avoort, C.; Falepin, A.; Goossens, M.J.; Lander, R.J.P.; Menten, S.; Naass, T.; Phan, K.L.; Stikvoort, E.; Wortel, K. A Piezo-resistive, Temperature Compensated, MEMS-Based Frequency Synthesizer. In Frequency References, Power Management for SoC, and Smart Wireless Interfaces; Baschirotto, A., Makinwa, K.A.A., Harpe, P., Eds.; Springer International Publishing: Basel, Switzerland, 2014; pp. 23–39. [Google Scholar]
  247. Melamud, R.; Kim, B.; Chandorkar, S.A.; Hopcroft, M.A.; Agarwal, M.; Jha, C.M.; Kenny, T.W. Temperature-compensated high-stability silicon resonators. Appl. Phys. Lett. 2007, 90, 244107. [Google Scholar] [CrossRef]
  248. Ng, E.J.; Hong, V.A.; Yang, Y.; Ahn, C.H.; Everhart, C.L.M.; Kenny, T.W. Temperature Dependence of the Elastic Constants of Doped Silicon. J. Microelectromech. Syst. 2015, 24, 730–741. [Google Scholar] [CrossRef]
  249. Naing, T.L.; Rocheleau, T.O.; Nguyen, C.T.-C. Simultaneous multi-frequency switchable oscillator and FSK modulator based on a capacitive-gap MEMS disk array. In Proceedings of the 28th IEEE International Conference on Micro Electro Mechanical Systems (MEMS), Estoril, Portugal, 2015; pp. 1024–1027.
  250. He, L.; Xu, Y.P.; Palaniapan, M. A CMOS Readout Circuit for SOI Resonant Accelerometer with 4- Bias Stability and 20- Resolution. IEEE J. Solid-State Circuits 2008, 43, 1480–1490. [Google Scholar] [CrossRef]
  251. Baghelani, M.; Ghavifekr, H.B.; Ebrahimi, A. MEMS based oscillator for UHF applications with automatic amplitude control. Microelectron. J. 2013, 44, 292–300. [Google Scholar] [CrossRef]
  252. Young, D.J.; Pehlivanoğlu, İ.E.; Zorman, C.A. Silicon carbide MEMS-resonator-based oscillator. J. Micromech. Microeng. 2009, 19, 115027. [Google Scholar] [CrossRef]
  253. Li, M.-H.; Li, C.-S.; Hou, L.-J.; Liu, Y.-C.; Li, S.-S. A 1.57 mW 99 dBΩ CMOS transimpedance amplifier for VHF micromechanical reference oscillators. In Proceedings of the 2012 IEEE International Symposium on Circuits and Systems (ISCAS), Seoul, Korea, 2012; pp. 209–212.
  254. Kuo, F.Y.; Chang, C.F.; Wen, K.A. CMOS 0.18 μm standard process capacitive MEMS high-Q oscillator with ultra low-power TIA readout system. In Proceedings of the 2014 IEEE Sensors, Valencia, Spain, 2–5 November 2014; pp. 911–914.
  255. Seth, S.; Wang, S.; Kenny, T.; Murmann, B. A -131-dBc/Hz, 20-MHz MEMS oscillator with a 6.9-mW, 69-kΩ, gain-tunable CMOS TIA. In Proceedings of the ESSCIRC (ESSCIRC), Bordeaux, France, 17–21 September 2012; pp. 249–252.
  256. Rinaldi, M.; Zuo, C.; van der Spiegel, J.; Piazza, G. Reconfigurable CMOS Oscillator Based on Multifrequency AlN Contour-Mode MEMS Resonators. IEEE Trans. Electron Devices 2011, 58, 1281–1286. [Google Scholar] [CrossRef]
  257. Zuo, C.; van der Spiegel, J.; Piazza, G. Dual-Mode Resonator and Switchless Reconfigurable Oscillator Based on Piezoelectric AlN MEMS Technology. IEEE Trans. Electron Devices 2011, 58, 3599–3603. [Google Scholar] [CrossRef]
  258. Pachkawade, V.; Li, M.-H.; Li, C.-S.; Li, S.-S. A CMOS-MEMS Resonator Integrated System for Oscillator Application. IEEE Sens. J. 2013, 13, 2882–2889. [Google Scholar] [CrossRef]
  259. Mumford, W.W. Some Notes on the History of Parametric Transducers. Proc. IRE 1960, 48, 848–853. [Google Scholar] [CrossRef]
  260. Komine, V.; Galliou, S.; Makarov, A. A parametric quartz crystal oscillator. IEEE Trans. Ultrason. Ferroelectr. Freq. Control 2003, 50, 1656–1661. [Google Scholar] [CrossRef] [PubMed]
  261. Villanueva, L.G.; Karabalin, R.B.; Matheny, M.H.; Kenig, E.; Cross, M.C.; Roukes, M.L. A Nanoscale Parametric Feedback Oscillator. Nano Lett. 2011, 11, 5054–5059. [Google Scholar] [CrossRef] [PubMed]
  262. Cassella, C.; Piazza, G. Low phase-noise autonomous parametric oscillator based on a 226.7 MHz AlN contour-mode resonator. IEEE Trans. Ultrason. Ferroelectr. Freq. Control 2015, 62, 617–624. [Google Scholar] [CrossRef] [PubMed]
  263. Jin, D.; Li, X.; Liu, J.; Zuo, G.; Wang, Y.; Liu, M.; Yu, H. High-mode resonant piezoresistive cantilever sensors for tens-femtogram resoluble mass sensing in air. J. Micromech. Microeng. 2006, 16, 1017–1023. [Google Scholar] [CrossRef]
  264. Ikehara, T.; Lu, J.; Konno, M.; Maeda, R.; Mihara, T. A high quality-factor silicon cantilever for a low detection-limit resonant mass sensor operated in air. J. Micromech. Microeng. 2007, 17, 2491. [Google Scholar] [CrossRef]
  265. Li, J.-J.; Zhu, K.-D. All-optical mass sensing with coupled mechanical resonator systems. Phys. Rep. 2013, 525, 223–254. [Google Scholar] [CrossRef]
  266. Wasisto, H.S.; Merzsch, S.; Waag, A.; Uhde, E.; Salthammer, T.; Peiner, E. Airborne engineered nanoparticle mass sensor based on a silicon resonant cantilever. Sens. Actuators B Chem. 2013, 180, 77–89. [Google Scholar] [CrossRef]
  267. Lavrik, N.V.; Datskos, P.G. Femtogram mass detection using photothermally actuated nanomechanical resonators. Appl. Phys. Lett. 2003, 82, 2697–2699. [Google Scholar] [CrossRef]
  268. Shen, Z.; Shih, W.Y.; Shih, W.-H. Mass detection sensitivity of piezoelectric cantilevers with a nonpiezoelectric extension. Rev. Sci. Instrum. 2006, 77, 065101:1–065101:10. [Google Scholar] [CrossRef]
  269. Hajhashemi, M.S.; Rasouli, A.; Bahreyni, B. Improving sensitivity of resonant sensor systems through strong mechanical coupling. J. Microelectromech. Syst. 2016, 25, 52–59. [Google Scholar] [CrossRef]
  270. Chaste, J.; Eichler, A.; Moser, J.; Ceballos, G.; Rurali, R.; Bachtold, A. A nanomechanical mass sensor with yoctogram resolution. Nat. Nanotechnol. 2012, 7, 301–304. [Google Scholar] [CrossRef] [PubMed]
  271. Jensen, K.; Kim, K.; Zettl, A. An atomic-resolution nanomechanical mass sensor. Nat. Nanotechnol. 2008, 3, 533–537. [Google Scholar] [CrossRef] [PubMed]
  272. Prescesky, S.; Parameswaran, M.; Rawicz, A.; Turner, R.F.B.; Reichl, U. Silicon micromachining technology for sub-nanogram discrete mass resonant biosensors. Can. J. Phys. 1992, 70, 1178–1183. [Google Scholar] [CrossRef]
  273. Naeli, K.; Tandon, P.; Brand, O. Geometrical Optimization of Resonant Cantilever Sensors. In Proceedings of the 2007 International Conference on Solid-State Sensors, Actuators and Microsystems, Lyon, France, 10–14 June 2007; pp. 245–248.
  274. Hajhashemi, M.S.; Rasouli, A.; Bahreyni, B. Performance optimization of high order RF microresonators in the presence of squeezed film damping. Sens. Actuators Phys. 2014, 216, 266–276. [Google Scholar] [CrossRef]
  275. Wenzel, S.W.; White, R.M. Analytic comparison of the sensitivities of bulk-wave, surface-wave, and flexural plate-wave ultrasonic gravimetric sensors. Appl. Phys. Lett. 1989, 54, 1976–1978. [Google Scholar] [CrossRef]
  276. Ying, Y.; Da-Zhong, Z. A Y type SAW mass sensor with metal array reflectors. Sens. Actuators B Chem. 2005, 109, 244–248. [Google Scholar] [CrossRef]
  277. Wingqvist, G.; Bjurström, J.; Liljeholm, L.; Yantchev, V.; Katardjiev, I. Shear mode AlN thin film electro-acoustic resonant sensor operation in viscous media. Sens. Actuators B Chem. 2007, 123, 466–473. [Google Scholar] [CrossRef]
  278. Rösler, S.; Lucklum, R.; Borngräber, R.; Hartmann, J.; Hauptmann, P. Sensor system for the detection of organic pollutants in water by thickness shear mode resonators. Sens. Actuators B Chem. 1998, 48, 415–424. [Google Scholar] [CrossRef]
  279. Zhang, H.; Kim, E.S. Micromachined Acoustic Resonant Mass Sensor. J. Microelectromech. Syst. 2005, 14, 699–706. [Google Scholar] [CrossRef]
  280. Lin, R.-C.; Chen, Y.-C.; Chang, W.-T.; Cheng, C.-C.; Kao, K.-S. Highly sensitive mass sensor using film bulk acoustic resonator. Sens. Actuators Phys. 2008, 147, 425–429. [Google Scholar] [CrossRef]
  281. Abdolvand, R.; Hao, Z.; Ayazi, F. A Temperature-Compensated ZnO-on-Diamond Resonant Mass Sensor. In Proceedings of the 5th IEEE Conference on Sensors, Daegu, Korea, 22–25 October 2006; pp. 1297–1300.
  282. Hajjam, A.; Wilson, J.C.; Pourkamali, S. Individual Air-Borne Particle Mass Measurement Using High-Frequency Micromechanical Resonators. IEEE Sens. J. 2011, 11, 2883–2890. [Google Scholar] [CrossRef]
  283. Lee, J.E.-Y.; Bahreyni, B.; Zhu, Y.; Seshia, A.A. Ultrasensitive mass balance based on a bulk acoustic mode single-crystal silicon resonator. Appl. Phys. Lett. 2007, 91, 234103. [Google Scholar] [CrossRef]
  284. Fanget, S.; Hentz, S.; Puget, P.; Arcamone, J.; Matheron, M.; Colinet, E.; Andreucci, P.; Duraffourg, L.; Myers, E.; Roukes, M.L. Gas sensors based on gravimetric detection—A review. Sens. Actuators B Chem. 2011, 160, 804–821. [Google Scholar] [CrossRef]
  285. Lavrik, N.V.; Sepaniak, M.J.; Datskos, P.G. Cantilever transducers as a platform for chemical and biological sensors. Rev. Sci. Instrum. 2004, 75, 2229–2253. [Google Scholar] [CrossRef]
  286. Howe, R.T.; Muller, R.S. Resonant-microbridge vapor sensor. IEEE Trans. Electron Devices 1986, 33, 499–506. [Google Scholar] [CrossRef]
  287. Hosaka, S.; Chiyoma, T.; Ikeuchi, A.; Okano, H.; Sone, H.; Izumi, T. Possibility of a femtogram mass biosensor using a self-sensing cantilever. Curr. Appl. Phys. 2006, 6, 384–388. [Google Scholar] [CrossRef]
  288. Lange, D.; Hagleitner, C.; Brand, O.; Baltes, H. CMOS resonant beam gas sensing system with on-chip self excitation. In Proceedings of the 14th IEEE International Conference on Micro Electro Mechanical Systems, Interlaken, Switzerland, 21–25 January 2001; pp. 547–552.
  289. Forsen, E.; Abadal, G.; Ghatnekar-Nilsson, S.; Teva, J.; Verd, J.; Sandberg, R.; Svendsen, W.; Perez-Murano, F.; Esteve, J.; Figueras, E.; et al. Ultrasensitive mass sensor fully integrated with complementary metal-oxide-semiconductor circuitry. Appl. Phys. Lett. 2005, 87, 43507. [Google Scholar] [CrossRef]
  290. Voiculescu, I.; Zaghloul, M.E.; McGill, R.A.; Houser, E.J.; Fedder, G.K. Electrostatically actuated resonant microcantilever beam in CMOS technology for the detection of chemical weapons. IEEE Sens. J. 2005, 5, 641–647. [Google Scholar] [CrossRef]
  291. Khoshaman, A.H.; Bahreyni, B. Application of metal organic framework crystals for sensing of volatile organic gases. Sens. Actuators B Chem. 2012, 162, 114–119. [Google Scholar] [CrossRef]
  292. Khoshaman, A.H.; Li, P.C.H.; Merbouh, N.; Bahreyni, B. Highly sensitive supra-molecular thin films for gravimetric detection of methane. Sens. Actuators B Chem. 2012, 161, 954–960. [Google Scholar] [CrossRef]
  293. Nieuwenhuizen, M.S.; Nederlof, A.J. A SAW gas sensor for carbon dioxide and water. Preliminary experiments. Sens. Actuators B Chem. 1990, 2, 97–101. [Google Scholar] [CrossRef]
  294. Nieuwenhuizen, M.S.; Barendsz, A.W.; Nieuwkoop, E.; Vellekoop, M.J.; Venema, A. Transduction mechanisms in SAW gas sensors. Electron. Lett. 1986, 22, 184–185. [Google Scholar] [CrossRef]
  295. Lang, H.P.; Berger, R.; Battiston, F.; Ramseyer, J.-P.; Meyer, E.; Andreoli, C.; Brugger, J.; Vettiger, P.; Despont, M.; Mezzacasa, T.; et al. A chemical sensor based on a micromechanical cantilever array for the identification of gases and vapors. Appl. Phys. A 1998, 66, S61–S64. [Google Scholar] [CrossRef]
  296. Lang, H.P.; Baller, M.K.; Berger, R.; Gerber, C.; Gimzewski, J.K.; Battiston, F.M.; Fornaro, P.; Ramseyer, J.P.; Meyer, E.; Guntherodt, H.J. An artificial nose based on a micromechanical cantilever array. Anal. Chim. Acta 1999, 393, 59–65. [Google Scholar] [CrossRef]
  297. Hagleitner, C.; Hierlemann, A.; Lange, D.; Kummer, A.; Kerness, N.; Brand, O.; Baltes, H. Smart single-chip gas sensor microsystem. Nature 2001, 414, 293–296. [Google Scholar] [CrossRef] [PubMed]
  298. Eom, K.; Park, H.S.; Yoon, D.S.; Kwon, T. Nanomechanical resonators and their applications in biological/chemical detection: Nanomechanics principles. Phys. Rep. 2011, 503, 115–163. [Google Scholar] [CrossRef]
  299. Lin, A.T.-H.; Yan, J.; Seshia, A.A. Electrically Addressed Dual Resonator Sensing Platform for Biochemical Detection. J. Microelectromech. Syst. 2012, 21, 34–43. [Google Scholar] [CrossRef]
  300. Zhang, H.; Marma, M.S.; Kim, E.S.; McKenna, C.E.; Thompson, M.E. A film bulk acoustic resonator in liquid environments. J. Micromech. Microeng. 2005, 15, 1911–1916. [Google Scholar] [CrossRef]
  301. Zuniga, C.; Rinaldi, M.; Piazza, G. High frequency Piezoelectric Resonant Nanochannel for bio-sensing applications in liquid environment. In Proceedings of the 2010 IEEE Sensors, Kona, HI, USA, 1–4 November 2010; pp. 52–55.
  302. Bahreyni, B.; Shafai, C. A Resonant Micromachined Magnetic Field Sensor. IEEE Sens. J. 2007, 7, 1326–1334. [Google Scholar] [CrossRef]
  303. Wojciechowski, K.E.; Boser, B.E.; Pisano, A.P. A MEMS resonant strain sensor operated in air. In Proceedings of the 17th IEEE Micro Electro Mechanical Systems Conference, MEMS ’04, Maastricht, The Netherlands, 25–29 January 2004; pp. 841–845.
  304. Azevedo, R.G.; Jones, D.G.; Jog, A.V.; Jamshidi, B.; Myers, D.R.; Chen, L.; Fu, X.; Mehregany, M.; Wijesundara, M.B.J.; Pisano, A.P. A SiC MEMS Resonant Strain Sensor for Harsh Environment Applications. IEEE Sens. J. 2007, 7, 568–576. [Google Scholar] [CrossRef]
  305. Greenwood, J.C. Etched silicon vibrating sensor. J. Phys. E 1984, 17, 650–652. [Google Scholar] [CrossRef]
  306. Welham, C.J.; Gardner, J.W.; Greenwood, J. A laterally driven micromachined resonant pressure sensor. Sens. Actuators Phys. 1996, 52, 86–91. [Google Scholar] [CrossRef]
  307. Esashi, M.; Sugiyama, S.; Ikeda, K.; Wang, Y.; Miyashita, H. Vacuum-sealed silicon micromachined pressure sensors. Proc. IEEE 1998, 86, 1627–1639. [Google Scholar] [CrossRef]
  308. Petersen, K.; Pourahmadi, F.; Brown, J.; Parsons, P.; Skinner, M.; Tudor, J. Resonant beam pressure sensor fabricated with silicon fusion bonding. In Proceedings of the 1991 International Conference on Solid-State Sensors and Actuators, Digest of Technical Papers, TRANSDUCERS ’91, San Francisco, CA, USA, 24–27 June 1991; pp. 664–667.
  309. Kinnell, P.K.; Craddock, R. Advances in Silicon Resonant Pressure Transducers. Procedia Chem. 2009, 1, 104–107. [Google Scholar] [CrossRef]
  310. Ikeda, K.; Kuwayama, H.; Kobayashi, T.; Watanabe, T.; Nishikawa, T.; Yoshida, T.; Harada, K. Silicon pressure sensor integrates resonant strain gauge on diaphragm. Sens. Actuators Phys. 1990, 21, 146–150. [Google Scholar] [CrossRef]
  311. Ikeda, K. Silicon Micromachined Vacuum Encapsulated Resonant Pressure Sensors. In Proceedings of the Digest of Papers of the International Microprocesses and Nanotechnology Conference, Kyoungju, Korea, 13–16 July 1998; pp. 56–57.
  312. Sunier, R.; Vancura, T.; Li, Y.; Kirstein, K.-U.; Baltes, H.; Brand, O. Resonant Magnetic Field Sensor With Frequency Output. IEEE J. Microelectromech. Syst. 2006, 15, 1098–1107. [Google Scholar] [CrossRef]
  313. Herrera-May, A.L.; Aguilera-Cortés, L.A.; García-Ramírez, P.J.; Manjarrez, E. Resonant magnetic field sensors based on MEMS technology. Sensors 2009, 9, 7785–7813. [Google Scholar] [CrossRef] [PubMed]
  314. Li, M.; Rouf, V.T.; Thompson, M.J.; Horsley, D.A. Three-Axis Lorentz-Force Magnetic Sensor for Electronic Compass Applications. J. Microelectromech. Syst. 2012, 21, 1002–1010. [Google Scholar] [CrossRef]
  315. Bahreyni, B. Design, Modeling, Simulation, and Testing of Resonant Micromachined Magnetic Field Sensors. In DeparIEEE Transactions on Magneticsent of Electrical and Computer Engineering; University of Manitoba: Winnipeg, MB, Canada, 2006. [Google Scholar]
  316. Kádár, Z.; Bossche, A.; Mollinger, J.R.; Sarro, P.M. Magnetic-field measurements using an integrated resonant magnetic-field sensor. Sens. Actuators Phys. 1998, 70, 225–232. [Google Scholar] [CrossRef]
  317. Emmerich, H.; Schofthaler, M. Magnetic field measurements with a novel surface micromachined magnetic-field sensor. IEEE Trans. Electron Devices 2000, 47, 927–977. [Google Scholar] [CrossRef]
  318. Seshia, A.A.; Palaniapan, M.; Roessig, T.A.; Howe, R.T.; Gooch, R.W.; Schimert, T.R.; Montague, S. A vacuum packaged surface micromachined resonant accelerometer. IEEE J. Microelectromech. Syst. 2002, 11, 784–793. [Google Scholar] [CrossRef]
  319. Ferrari, V.; Ghisla, A.; Marioli, D.; Taroni, A. Silicon resonant accelerometer with electronic compensation of input-output cross-talk. Sens. Actuators Phys. 2005, 123–124, 258–266. [Google Scholar] [CrossRef]
  320. Zou, X.; Seshia, A.A. A high-resolution resonant MEMS accelerometer. In Proceedings of the 2015 Transducers—2015 18th International Conference on Solid-State Sensors, Actuators and Microsystems (TRANSDUCERS), Anchorage, AK, USA, 21–25 June 2015; pp. 1247–1250.
  321. Aikele, M.; Bauer, K.; Ficker, W.; Neubauer, F.; Prechtel, U.; Schalk, J.; Seidel, H. Resonant accelerometer with self-test. Sens. Actuators Phys. 2001, 92, 161–167. [Google Scholar] [CrossRef]
  322. Edalatfar, F.; Hajhashemi, S.; Yaghootkar, B.; Bahreyni, B. Dual mode resonant capacitive MEMS accelerometer. In Proceedings of the 2016 IEEE International Symposium on Inertial Sensors and Systems, Laguna Beach, CA, USA, 22–25 Feburary 2016; pp. 97–100.
  323. Moussa, H.; Bourquin, R. Theory of direct frequency output vibrating gyroscopes. IEEE Sens. J. 2006, 6, 310–315. [Google Scholar] [CrossRef]
  324. Zhanshe, G.; Fucheng, C.; Boyu, L.; Le, C.; Chao, L.; Ke, S. Research development of silicon MEMS gyroscopes: A review. Microsyst. Technol. 2015, 21, 2053–2066. [Google Scholar] [CrossRef]
  325. Liu, K.; Zhang, W.; Chen, W.; Li, K.; Dai, F.; Cui, F.; Wu, X.; Ma, G.; Xiao, Q. The development of micro-gyroscope technology. J. Micromech. Microeng. 2009, 19, 113001. [Google Scholar] [CrossRef]
  326. Lee, J.E.-Y.; Bahreyni, B.; Seshia, A.A. An axial strain modulated double-ended tuning fork electrometer. Sens. Actuators Phys. 2008, 148, 395–400. [Google Scholar] [CrossRef]
  327. Zhao, J.; Ding, H.; Xie, J. Electrostatic charge sensor based on a micromachined resonator with dual micro-levers. Appl. Phys. Lett. 2015, 106, 233505. [Google Scholar] [CrossRef]
  328. Lin, L.; Howe, R.T.; Pisano, A.P. Microelectromechanical filters for signal processing. J. Microelectromech. Syst. 1998, 7, 286–294. [Google Scholar] [CrossRef]
  329. Wang, K.; Nguyen, C.T.-C. High-order medium frequency micromechanical electronic filters. J. Microelectromech. Syst. 1999, 8, 534–556. [Google Scholar] [CrossRef]
  330. Nguyen, C.T.-C. Transceiver front-end architectures using vibrating micromechanical signal processors. In Proceedings of the 2001 Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, Digest of Papers, Ann Arbor, MI, USA, 14 Septermber 2001; pp. 23–32.
  331. Nguyen, C.T.-C. Frequency-selective MEMS for miniaturized low-power communication devices. IEEE Trans. Microw. Theory Tech. 1999, 47, 1486–1503. [Google Scholar] [CrossRef]
  332. Li, S.-S.; Lin, Y.-W.; Ren, Z.; Nguyen, C.T.-C. Self-switching vibrating micromechanical filter bank. In Proceedings of the 2005 IEEE International Frequency Control Symposium and Exposition, Vancouver, BC, Canada, 29–31 August 2005; p. 7.
  333. Wong, A.-C.; Nguyen, C.T.-C. Micromechanical mixer-filters (‘mixlers’). J. Microelectromech. Syst. 2004, 13, 100–112. [Google Scholar] [CrossRef]
  334. Shalaby, M.M.; Abdelmoneum, M.A.; Saitou, K. Design of Spring Coupling for High-Q High-Frequency MEMS Filters for Wireless Applications. IEEE Trans. Ind. Electron. 2009, 56, 1022–1030. [Google Scholar] [CrossRef]
  335. Giner, J.; Uranga, A.; Muñóz-Gamarra, J.L.; Marigó, E.; Barniol, N. A fully integrated programmable dual-band RF filter based on electrically and mechanically coupled CMOS-MEMS resonators. J. Micromech. Microeng. 2012, 22, 55020. [Google Scholar] [CrossRef]
  336. Nilchi, J.N.; Liu, R.; Nguyen, C.T.-C. 7th order sharp-roll-off bridged micromechanical filter. In Proceedings of the 2015 Transducers—2015 18th International Conference on Solid-State Sensors, Actuators and Microsystems (TRANSDUCERS), Anchorage, AK, USA, 21–25 June 2015; pp. 137–140.
  337. Pourkamali, S.; Ayazi, F. Electrically coupled MEMS bandpass filters: Part II. Without coupling element. Sens. Actuators Phys. 2005, 122, 317–325. [Google Scholar] [CrossRef]
  338. Jang, Y.-H.; Llamas-Garro, I.; Kim, Y.-K.; Kim, J.-M. RF MEMS suspended band-stop resonator and filter for frequency and bandwidth continuous fine tuning. J. Micromech. Microeng. 2012, 22, 15005. [Google Scholar] [CrossRef]
  339. Kim, B.; Olsson, R.H.; Wojciechowski, K.E. AlN Microresonator-Based Filters with Multiple Bandwidths at Low Intermediate Frequencies. J. Microelectromech. Syst. 2013, 22, 949–961. [Google Scholar] [CrossRef]
  340. Demirci, M.U.; Nguyen, C.T.C. A low impedance VHF micromechanical filter using coupled-array composite resonators. In Proceedings of the 13th International Conference on Solid-State Sensors, Actuators and Microsystems, Seoul, Korea, 5–9 June 2005; Volume 2, pp. 2131–2134.
  341. Lopez, J.L.; Verd, J.; Uranga, A.; Giner, J.; Murillo, G.; Torres, F.; Abadal, G.; Barniol, N. A CMOS-MEMS RF-Tunable Bandpass Filter Based on Two High- 22-MHz Polysilicon Clamped-Clamped Beam Resonators. IEEE Electron Device Lett. 2009, 30, 718–720. [Google Scholar] [CrossRef]
Figure 1. A mass-spring-damper system.
Figure 1. A mass-spring-damper system.
Micromachines 07 00160 g001
Figure 2. Time and frequency response of resonant systems.
Figure 2. Time and frequency response of resonant systems.
Micromachines 07 00160 g002
Figure 3. Equivalent electrical representation of an electrostatic resonator including the feedthrough capacitor C f and parasitic capacitors C p i and C p o .
Figure 3. Equivalent electrical representation of an electrostatic resonator including the feedthrough capacitor C f and parasitic capacitors C p i and C p o .
Micromachines 07 00160 g003
Figure 4. Common flexural mode beams classified according boundary conditions: (a) cantilever, β = 0.16154; (b) clamped-clamped beam, β = 1.02792; (c) free-free beam, β = 1.02792.
Figure 4. Common flexural mode beams classified according boundary conditions: (a) cantilever, β = 0.16154; (b) clamped-clamped beam, β = 1.02792; (c) free-free beam, β = 1.02792.
Micromachines 07 00160 g004
Figure 5. Common flexural mode membrane resonators: (a) square membrane; (b) circular membrane.
Figure 5. Common flexural mode membrane resonators: (a) square membrane; (b) circular membrane.
Micromachines 07 00160 g005
Figure 6. Bulk mode shapes based on rectangular plates, square plates, and circular disks: (a) length-extensional (LE) mode, β = 1, λ = 2L; (b) width-extensional (WE) mode, β = 1, λ = 2W; (c) square-extensional (SE) mode, β = 1, λ = 2L; (d) radial breathing mode, β = 1, λ = 2R.
Figure 6. Bulk mode shapes based on rectangular plates, square plates, and circular disks: (a) length-extensional (LE) mode, β = 1, λ = 2L; (b) width-extensional (WE) mode, β = 1, λ = 2W; (c) square-extensional (SE) mode, β = 1, λ = 2L; (d) radial breathing mode, β = 1, λ = 2R.
Micromachines 07 00160 g006
Figure 7. Displacement profile of the 5th order width-extensional (WE) mode of vibration.
Figure 7. Displacement profile of the 5th order width-extensional (WE) mode of vibration.
Micromachines 07 00160 g007
Figure 8. Lateral shear modes based on a square plate resonator: (a) Lamé mode , β = 1, λ = √2L; (b) FS mode, β = 1.283, λ = 2L.
Figure 8. Lateral shear modes based on a square plate resonator: (a) Lamé mode , β = 1, λ = √2L; (b) FS mode, β = 1.283, λ = 2L.
Micromachines 07 00160 g008
Figure 9. Wine glass mode observed in a circular disk resonator.
Figure 9. Wine glass mode observed in a circular disk resonator.
Micromachines 07 00160 g009
Figure 10. A torsional mode paddle resonator.
Figure 10. A torsional mode paddle resonator.
Micromachines 07 00160 g010
Figure 11. Array of Lamé mode resonators realized through mechanical coupling.
Figure 11. Array of Lamé mode resonators realized through mechanical coupling.
Micromachines 07 00160 g011
Figure 12. A typical measured Quality factor vs. pressure plot for micro-scale (MEMS) resonators.
Figure 12. A typical measured Quality factor vs. pressure plot for micro-scale (MEMS) resonators.
Micromachines 07 00160 g012
Figure 13. 2-D model of a third harmonic lateral-extensional resonator. The strain is color-coded on the resonator and the substrate but the color intensity is not a true representation of the strain intensity as it is manipulated on the substrate region to enhance visibility.
Figure 13. 2-D model of a third harmonic lateral-extensional resonator. The strain is color-coded on the resonator and the substrate but the color intensity is not a true representation of the strain intensity as it is manipulated on the substrate region to enhance visibility.
Micromachines 07 00160 g013
Figure 14. Limit of f.Q for a wide range of frequencies imposed by phonon scattering in diamond and <100> Silicon.
Figure 14. Limit of f.Q for a wide range of frequencies imposed by phonon scattering in diamond and <100> Silicon.
Micromachines 07 00160 g014
Figure 15. The schematic representation of a two-port capacitive beam resonator (a) and the scanning electron micrograph of a capacitive polysilicon disk resonator (b) [62]. © 2005 IEEE. Reprinted with permission from High-Q UHF micromechanical radial-contour mode disk resonators by J. R. Clark in J. Microelectromech. Syst., 2005.
Figure 15. The schematic representation of a two-port capacitive beam resonator (a) and the scanning electron micrograph of a capacitive polysilicon disk resonator (b) [62]. © 2005 IEEE. Reprinted with permission from High-Q UHF micromechanical radial-contour mode disk resonators by J. R. Clark in J. Microelectromech. Syst., 2005.
Micromachines 07 00160 g015
Figure 16. Scanning electron micrograph (SEM) of an AlN-on-Silicon fifth-order lateral-extensional mode (a) and an AlN contour-mode rectangular resonator (b) [135]. © 2007 IEEE. Reprinted with permission from Enhanced Power Handling and Quality Factor in Thin-Film Piezoelectric-on-Substrate Resonators by R. Abdolvand in Proceedings of the IEEE Ultrasonics Symposium, 2007.
Figure 16. Scanning electron micrograph (SEM) of an AlN-on-Silicon fifth-order lateral-extensional mode (a) and an AlN contour-mode rectangular resonator (b) [135]. © 2007 IEEE. Reprinted with permission from Enhanced Power Handling and Quality Factor in Thin-Film Piezoelectric-on-Substrate Resonators by R. Abdolvand in Proceedings of the IEEE Ultrasonics Symposium, 2007.
Micromachines 07 00160 g016
Figure 17. SEM of piezoeresistively-sensed thermally-actuated resonator: a rotational disk resonator with boron-doped piezoresistive readout element (a) and a solid single crystalline silicon disk resonator with combined heater/piezoeresistive silicon beams (b) [147]. © 2010 IEEE. Reprinted with permission from Rotational mode disk resonators for high-Q operation in liquid by A. Rahafrooz in Proceedings of the 2010 IEEE Sensors, 2010.
Figure 17. SEM of piezoeresistively-sensed thermally-actuated resonator: a rotational disk resonator with boron-doped piezoresistive readout element (a) and a solid single crystalline silicon disk resonator with combined heater/piezoeresistive silicon beams (b) [147]. © 2010 IEEE. Reprinted with permission from Rotational mode disk resonators for high-Q operation in liquid by A. Rahafrooz in Proceedings of the 2010 IEEE Sensors, 2010.
Micromachines 07 00160 g017
Figure 18. Fabrication of vertical narrow gaps in a polysilicon-based process: (a) Growth of sacrificial oxide; (b) Growth and patterning of polysilicon structural layer after patterning of sacrificial oxide; (c) Release of polysilicon structure of removal of sacrificial oxide.
Figure 18. Fabrication of vertical narrow gaps in a polysilicon-based process: (a) Growth of sacrificial oxide; (b) Growth and patterning of polysilicon structural layer after patterning of sacrificial oxide; (c) Release of polysilicon structure of removal of sacrificial oxide.
Micromachines 07 00160 g018
Figure 19. Fabrication of lateral narrow gaps in a polysilicon-based process: (a) Conformal growth of sacrificial oxide to define lateral gaps; (b) Growth and patterning of polysilicon electrodes; (c) Release of polysilicon structure after removal of sacrificial oxide.
Figure 19. Fabrication of lateral narrow gaps in a polysilicon-based process: (a) Conformal growth of sacrificial oxide to define lateral gaps; (b) Growth and patterning of polysilicon electrodes; (c) Release of polysilicon structure after removal of sacrificial oxide.
Micromachines 07 00160 g019
Figure 20. Fabrication of narrow gaps in a silicon-on-insulator-based process using polysilicon refilling of the gaps etched into the silicon device layer by Deep Reactive Ion Etching: (a) Conformal growth of sacrificial oxide to define lateral gaps; (b) Growth, etch back and patterning of polysilicon electrodes; (c) Release of silicon structure after removal of sacrificial oxide.
Figure 20. Fabrication of narrow gaps in a silicon-on-insulator-based process using polysilicon refilling of the gaps etched into the silicon device layer by Deep Reactive Ion Etching: (a) Conformal growth of sacrificial oxide to define lateral gaps; (b) Growth, etch back and patterning of polysilicon electrodes; (c) Release of silicon structure after removal of sacrificial oxide.
Micromachines 07 00160 g020
Figure 21. (a) A MEMS oscillator and (b) its compensation and frequency synthesis electronics [202]. © 2015 IEEE. Reprinted with permission from A 3 ppm 1.5 × 0.8 mm2 1.0 μA 32.768 kHz MEMS-Based Oscillator by Zaliasl in J. Solid-State Circuits, 2015.
Figure 21. (a) A MEMS oscillator and (b) its compensation and frequency synthesis electronics [202]. © 2015 IEEE. Reprinted with permission from A 3 ppm 1.5 × 0.8 mm2 1.0 μA 32.768 kHz MEMS-Based Oscillator by Zaliasl in J. Solid-State Circuits, 2015.
Micromachines 07 00160 g021
Figure 22. (a) Typical MEMS resonator-based oscillator loop; and (b) typical resonator transmission characteristic amplitude and phase [162]. © 2010 IEEE. Reprinted with permission from Multifrequency Pierce Oscillators Based on Piezoelectric AlN Contour-Mode MEMS Technology by Zuo in J. Microelectromech. Syst., 2010.
Figure 22. (a) Typical MEMS resonator-based oscillator loop; and (b) typical resonator transmission characteristic amplitude and phase [162]. © 2010 IEEE. Reprinted with permission from Multifrequency Pierce Oscillators Based on Piezoelectric AlN Contour-Mode MEMS Technology by Zuo in J. Microelectromech. Syst., 2010.
Micromachines 07 00160 g022
Figure 23. A 27 MHz MEMS resonator oscillator (a) output spectrum and (b) phase noise plot [232]. © IOP Publishing. Reproduced with permission. All rights reserved.
Figure 23. A 27 MHz MEMS resonator oscillator (a) output spectrum and (b) phase noise plot [232]. © IOP Publishing. Reproduced with permission. All rights reserved.
Micromachines 07 00160 g023
Figure 24. Typical phase noise power spectral density (single sided).
Figure 24. Typical phase noise power spectral density (single sided).
Micromachines 07 00160 g024
Figure 25. (a) The effect of automatic gain control due to the nonlinearity of the resonator on time domain frequency stability of a MEMS oscillator [208]. © 2009 IEEE. Reprinted with permission from A Highly Integrated 1.8 GHz Frequency Synthesizer Based on a MEMS Resonator by Nabki in J. Solid-State Circuits, 2009; and (b) the effect of automatic gain (level) control on the phase noise performance of a MEMS oscillator [237]. © 2003 IEEE. Reprinted with permission from Influence of automatic level control on micromechanical resonator oscillator phase noise. by Lee in Proceedings of the IEEE International Frequency Control Symposium, 2003.
Figure 25. (a) The effect of automatic gain control due to the nonlinearity of the resonator on time domain frequency stability of a MEMS oscillator [208]. © 2009 IEEE. Reprinted with permission from A Highly Integrated 1.8 GHz Frequency Synthesizer Based on a MEMS Resonator by Nabki in J. Solid-State Circuits, 2009; and (b) the effect of automatic gain (level) control on the phase noise performance of a MEMS oscillator [237]. © 2003 IEEE. Reprinted with permission from Influence of automatic level control on micromechanical resonator oscillator phase noise. by Lee in Proceedings of the IEEE International Frequency Control Symposium, 2003.
Micromachines 07 00160 g025
Figure 26. The typical trans-impedance amplifier configuration providing 0° phase shift and sufficient gain around an electrostatic resonator [241]. © 2007 IEEE. Reprinted with permission from Electronically Temperature Compensated Silicon Bulk Acoustic Resonator Reference Oscillators by Sundaresan in J. Solid-State Circuits, 2007.
Figure 26. The typical trans-impedance amplifier configuration providing 0° phase shift and sufficient gain around an electrostatic resonator [241]. © 2007 IEEE. Reprinted with permission from Electronically Temperature Compensated Silicon Bulk Acoustic Resonator Reference Oscillators by Sundaresan in J. Solid-State Circuits, 2007.
Micromachines 07 00160 g026
Figure 27. Typical trans-impedance amplifier (TIA) block diagram, showing a resonator connected in closed-loop.
Figure 27. Typical trans-impedance amplifier (TIA) block diagram, showing a resonator connected in closed-loop.
Micromachines 07 00160 g027
Figure 28. Regulated cascode trans-impedance amplifier stage.
Figure 28. Regulated cascode trans-impedance amplifier stage.
Micromachines 07 00160 g028
Figure 29. Voltage gain stage used to increase the gain of the regulated cascade amplifier [253]. © 2012 IEEE. Reprinted with permission from A 1.57 mW 99 dBΩ CMOS transimpedance amplifier for VHF micromechanical reference oscillator by Li in Proceedings of the IEEE International Symposium on Circuits and Systems, 2012.
Figure 29. Voltage gain stage used to increase the gain of the regulated cascade amplifier [253]. © 2012 IEEE. Reprinted with permission from A 1.57 mW 99 dBΩ CMOS transimpedance amplifier for VHF micromechanical reference oscillator by Li in Proceedings of the IEEE International Symposium on Circuits and Systems, 2012.
Micromachines 07 00160 g029
Figure 30. Capacitive sustaining amplifier circuit [254]. © 2014 IEEE. Reprinted with permission from CMOS 0.18 μm standard process capacitive MEMS high-Q oscillator with ultra low-power TIA readout system by Kuo in Proceedings of the IEEE Sensors, 2014.
Figure 30. Capacitive sustaining amplifier circuit [254]. © 2014 IEEE. Reprinted with permission from CMOS 0.18 μm standard process capacitive MEMS high-Q oscillator with ultra low-power TIA readout system by Kuo in Proceedings of the IEEE Sensors, 2014.
Micromachines 07 00160 g030
Figure 31. Typical Pierce oscillator configuration [214]. Reproduced with permission from Arndt et al., Sens. Actuators Phys.; published by Elsevier, 2011.
Figure 31. Typical Pierce oscillator configuration [214]. Reproduced with permission from Arndt et al., Sens. Actuators Phys.; published by Elsevier, 2011.
Micromachines 07 00160 g031
Figure 32. (a) Parametric pumping of a MEMS electrostatic resonator [223]; © 2014 IEEE. Reprinted with permission from A micromechanical parametric oscillator for frequency division and phase noise reduction by Rocheleau in the Proceedings of International Conference on Micro Electro Mechanical Syst., 2014; and (b) a typical parametric oscillator loop used with a nanoscale resonant beam [261]. Reproduced with permission from Villanueva et al., Nano Lett.; published by American Chemical Society, 2011.
Figure 32. (a) Parametric pumping of a MEMS electrostatic resonator [223]; © 2014 IEEE. Reprinted with permission from A micromechanical parametric oscillator for frequency division and phase noise reduction by Rocheleau in the Proceedings of International Conference on Micro Electro Mechanical Syst., 2014; and (b) a typical parametric oscillator loop used with a nanoscale resonant beam [261]. Reproduced with permission from Villanueva et al., Nano Lett.; published by American Chemical Society, 2011.
Micromachines 07 00160 g032
Figure 33. A resonant mass sensor based on coupled resonators. Note the addition of platinum sheets used for characterization of the sensor [269]. © 2016 IEEE. Reprinted with permission from Improving sensitivity of resonant sensor systems through strong mechanical coupling by M.S. Hajhashemi in J. Microelectromech. Syst., 2016.
Figure 33. A resonant mass sensor based on coupled resonators. Note the addition of platinum sheets used for characterization of the sensor [269]. © 2016 IEEE. Reprinted with permission from Improving sensitivity of resonant sensor systems through strong mechanical coupling by M.S. Hajhashemi in J. Microelectromech. Syst., 2016.
Micromachines 07 00160 g033
Figure 34. (a) Damping in liquid phase resonant mass sensors are reduced by using suspended microfluidic channels as resonators; (b) Analytes are detected based on their mass density difference relative to the surrounding solution. [43]. Reproduced with permission from T.P. Burg, Appl. Phys. Lett.; published by AIP, 2003.
Figure 34. (a) Damping in liquid phase resonant mass sensors are reduced by using suspended microfluidic channels as resonators; (b) Analytes are detected based on their mass density difference relative to the surrounding solution. [43]. Reproduced with permission from T.P. Burg, Appl. Phys. Lett.; published by AIP, 2003.
Micromachines 07 00160 g034
Figure 35. Generation of axial strains in bridges anchored to a flexible membrane due to pressure.
Figure 35. Generation of axial strains in bridges anchored to a flexible membrane due to pressure.
Micromachines 07 00160 g035
Figure 36. The resonant pressure sensor by Ikeda et al. [311]: (a) the schematic of the resonator and circuitry around it and (b) cross-sectional view of one of the beams inside the cavity and the encapsulation film. © 1998 IEEE. Reprinted with permission from Silicon Micromachined Vacuum Encapsulated Resonant Pressure Sensors by K. Ikeda in Proceedings of International Microprocesses and Nanotechnology Conference, 1998.
Figure 36. The resonant pressure sensor by Ikeda et al. [311]: (a) the schematic of the resonator and circuitry around it and (b) cross-sectional view of one of the beams inside the cavity and the encapsulation film. © 1998 IEEE. Reprinted with permission from Silicon Micromachined Vacuum Encapsulated Resonant Pressure Sensors by K. Ikeda in Proceedings of International Microprocesses and Nanotechnology Conference, 1998.
Micromachines 07 00160 g036
Figure 37. A resonant micromachined magnetic field sensor [315]. A DC current is passed through the two metal coated cross-bars which generates a Lorentz force that results in an axial force on the beam springs of the electrostatic resonator.
Figure 37. A resonant micromachined magnetic field sensor [315]. A DC current is passed through the two metal coated cross-bars which generates a Lorentz force that results in an axial force on the beam springs of the electrostatic resonator.
Micromachines 07 00160 g037
Figure 38. A resonant accelerometer: (a) The structure was brought under resonance using a thermal actuator embedded at the base of connector beam (b). Strains were measured using doped piezoresistors [321]. Reproduced with permission from Aikele, M. Sens. Actuators Phys.; published by Elsevier, 2001.
Figure 38. A resonant accelerometer: (a) The structure was brought under resonance using a thermal actuator embedded at the base of connector beam (b). Strains were measured using doped piezoresistors [321]. Reproduced with permission from Aikele, M. Sens. Actuators Phys.; published by Elsevier, 2001.
Micromachines 07 00160 g038
Figure 39. (a) Super-heterodyne architecture with off-chip components replaced by integrated MEMS resonators; and (b) a resonator-based receiver architecture.
Figure 39. (a) Super-heterodyne architecture with off-chip components replaced by integrated MEMS resonators; and (b) a resonator-based receiver architecture.
Micromachines 07 00160 g039
Table 1. Correspondence between electrical and mechanical domains.
Table 1. Correspondence between electrical and mechanical domains.
Mechanical DomainElectrical Domain
Force, F Voltage, V
Velocity, x ˙ Current, I
Displacement, x Charge, q
Compliance, 1 K Capacitance, C
Mass, M Inductance, L
Damping, ζ Resistance, R

Share and Cite

MDPI and ACS Style

Abdolvand, R.; Bahreyni, B.; Lee, J.E.-Y.; Nabki, F. Micromachined Resonators: A Review. Micromachines 2016, 7, 160. https://doi.org/10.3390/mi7090160

AMA Style

Abdolvand R, Bahreyni B, Lee JE-Y, Nabki F. Micromachined Resonators: A Review. Micromachines. 2016; 7(9):160. https://doi.org/10.3390/mi7090160

Chicago/Turabian Style

Abdolvand, Reza, Behraad Bahreyni, Joshua E. -Y. Lee, and Frederic Nabki. 2016. "Micromachined Resonators: A Review" Micromachines 7, no. 9: 160. https://doi.org/10.3390/mi7090160

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop