Next Article in Journal
Metamaterials and Metasurfaces for Sensor Applications
Previous Article in Journal
Multi-Robot Interfaces and Operator Situational Awareness: Study of the Impact of Immersion and Prediction
Previous Article in Special Issue
Investigation into the Effect of Acoustic Radiation Force and Acoustic Streaming on Particle Patterning in Acoustic Standing Wave Fields
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Advances in Testing Techniques for Digital Microfluidic Biochips

by
Vineeta Shukla
1,*,
Fawnizu Azmadi Hussin
1,
Nor Hisham Hamid
1 and
Noohul Basheer Zain Ali
2
1
Electrical & Electronic Engineering Department, Centre for Intelligent Signal and Imaging Research (CISIR), Universiti Teknologi PETRONAS, 32610 Seri Iskandar, Perak, Malaysia
2
Waham Technologies, Subang Jaya, 47600 Selangor Darul Ehsan, Malaysia
*
Author to whom correspondence should be addressed.
Sensors 2017, 17(8), 1719; https://doi.org/10.3390/s17081719
Submission received: 5 May 2017 / Revised: 8 July 2017 / Accepted: 18 July 2017 / Published: 27 July 2017
(This article belongs to the Special Issue Microfluidic Sensors and Control Devices)

Abstract

:
With the advancement of digital microfluidics technology, applications such as on-chip DNA analysis, point of care diagnosis and automated drug discovery are common nowadays. The use of Digital Microfluidics Biochips (DMFBs) in disease assessment and recognition of target molecules had become popular during the past few years. The reliability of these DMFBs is crucial when they are used in various medical applications. Errors found in these biochips are mainly due to the defects developed during droplet manipulation, chip degradation and inaccuracies in the bio-assay experiments. The recently proposed Micro-electrode-dot Array (MEDA)-based DMFBs involve both fluidic and electronic domains in the micro-electrode cell. Thus, the testing techniques for these biochips should be revised in order to ensure proper functionality. This paper describes recent advances in the testing technologies for digital microfluidics biochips, which would serve as a useful platform for developing revised/new testing techniques for MEDA-based biochips. Therefore, the relevancy of these techniques with respect to testing of MEDA-based biochips is analyzed in order to exploit the full potential of these biochips.

1. Introduction

The mixed-technology based microsystem has an emerging category known as microfluidics. Microfluidics or Lab-on-a-chip (LOC) involves the processing of small volume of fluids (typically in the range of 10−6 to 10−18 L) in small channels that have dimensions in the range of micrometers [1,2]. These microfluidic biochips are widely employed in various biomedical applications including parallel immunoassays, drug discovery and point of care disease diagnosis, monitoring infectious disease and to detect pathogens. Most of the commercially available biochips are continuous flow microfluidic biochips in which the flow of liquid is controlled by micro-pumps, micro-valves, electro-kinetics and electro-osmosis [3,4]. However, permanently-etched channels and complex external assistance restrict the feasibility and versatility of these biochips [3]. Thus, the focus is shifted from Continuous Flow Based Microfluidics to Digital Microfluidics Based Biochips (DMFBs). These devices have the capability of handling very small volumes of liquids. Results can be provided in a prompt manner and test circuits can be easily operated [2]. The computing functions and sensing modules in the digital microfluidic biochips can potentially enhance the microfluidic technology [1].
Generally, a DMFB comprises of electrodes arranged in the form of arrays in two-dimensional (2D) surface as shown in Figure 1a,b. It also consists of other exterior components such as droplet detector and droplet dispensing port. The sample and reagents consist of very small volumes of discrete droplets which are controlled by the electrodes based on the principle of Electro-Wetting-On Dielectric (EWOD) [1,2]. These droplets are moved from one position to another in the 2-D array with the help of time-varying voltage pulses applied to the controlled electrodes [2,5].
In recent years, the system level integration and complexity of DMFBs have increased rapidly due to increasing requirements of multiple and concurrent bioassay operations [1]. The recently proposed Micro-electrode-Dot-Array (MEDA) architecture-based digital microfluidic biochips have the potential to integrate and execute multiple and complex operations concurrently [6]. Like conventional DMFBs, the principle of Electro-Wetting-On Dielectric (EWOD) is utilized in MEDA to move the droplet from one micro-electrode to the adjacent micro-electrode [6,7]. The well-known CMOS fabrication technology is used to fabricate MEDA-based biochips [6]. Additionally, some advanced operations provided by this architecture such as diagonal movement of droplet and channel-based routing make it suitable to be used in any environment without any directional constraints [6,8].
The difference between conventional DMFBs and MEDA-based biochips lies in the architecture [8]. MEDA-based biochips are based on the sea-of-micro-electrodes having similar basic units called micro-electrode cells (MCs) [6]. The MEDA-based biochips contain micro-electrodes that are ten times smaller (typically ~100 μm) than the electrodes conventionally used in digital microfluidics [6]. The micro-electrode cells are connected together using a scan-chain structure owing to the high integration possible in MEDA-based biochips. The micro-electrodes are activated dynamically to form droplets of varying shape and size [8]. As compared to the conventional DMFB where the basic unit is a physical electrode, each MC in MEDA-based biochip has a physical micro-electrode (fluidic domain) and a control circuitry (electronic domain) beneath it [9].
The MEDA-based biochips provide several advantages over conventional digital microfluidic biochips. MEDA architecture allows dynamic grouping of the micro-electrodes to form droplets of arbitrary shape; hence, its operation is different from those of the conventional digital microfluidics biochips [9]. Additionally, conventional DMFBs suffer from various limitations including constraints on droplet size, incapability to change the volume of droplets in a fine-grained manner and lack of integrated sensing systems for the detection of droplets in real-time situations [9,10]. The MEDA-based biochips are able to form a longer contact line in order to control the droplets [6]. Furthermore, the diagonal splitting in MEDA-based biochips can be done more successfully than the conventional splitting as a more effective contact line is provided by the surrounding micro-electrodes. It also allows fast mixing procedure by creating multilaminates [7,8]. Thus, MEDA-based DMFBs could provide a good platform for exploring potential scalability and reconfigurability in DMFBs [6].
However, the increased complexity of DMFBs, the underlying mixed energy domain and the use of new materials have led to numerous potential defects in DMFBs upon manufacturing and during the in-field operations [11]. The effects of these manufacturing defects may become more apparent during the execution of bioassays [12]. Additionally, parametric variations such as electrode size and oxide thickness would affect the biochip performance during the bioassay operations. Some physical defects like particle contamination may happen during the operations of digital microfluidic biochips [13]. Thus the reliability of these biochips becomes a critical factor when they are used in various safety critical applications [13]. Various testing techniques including built-in-self test (BIST), error recovery, and design for testability have been proposed in the literature for the testing of DMFBs [11,13,14]. However, the presence of mixed domains in MEDA-based biochips, the complexity of their architecture due to the density of large number of basic units (micro-electrodes) and the complex control circuitry requires additional test procedures [10]. Therefore, the testing techniques employed for conventional DMFBs should be modified before they are applied in MEDA-based biochips [10].
In this paper, we provide an overview of testing techniques used for conventional digital microfluidics and their applicability for MEDA-based digital microfluidic biochips. A comparison of these testing techniques is presented based on offline (manufacturing testing) and online testing (in-field testing), test completion time, type of sensing circuit at sink and size of microfluidic array used. Additionally, an overview of MEDA-based biochip is presented, focusing on its architecture and the recently proposed test techniques for this architecture. Fault models for the MEDA-based biochips are presented to gain better understanding of the defect types in these DMFBs.
The paper is organized as follows: Section 2 explains the testing procedures that are commonly used in conventional DMFBs. Section 3 describes different types of testing techniques used for conventional DMFBs. Section 4 provides an overview of MEDA-based biochip, its architecture and presents the fault models for MEDA-based biochips. Section 5 concludes the current work and offers some suggestions for future work.

2. Testing of Digital Microfluidic Biochips

When a DMFB operation does not meet the desired specification, it indicates that there is a fault in the biochip. Typically, electrical methods are used to detect faults in microfluidic biochips. The faults occurred in DMFBs may be due to imperfections produced during the manufacturing process or degradation of the electrode during field operations. These faults in DMFBs are either catastrophic (hard) or parametric (soft) in nature [1,11]. Catastrophic faults cause overall failure of the digital microfluidic biochips whereas parametric faults lead to degradation in DMFB performance. Some of the possible catastrophic defects include dielectric breakdown, insulator degradation, short-circuit between the electrodes, etc. Deviations in geometric parameter and variations in droplet viscosity and filler medium are some of the examples of parametric defects. The growing use of digital microfluidics in various medical applications has triggered the need for developing effective test approaches to guarantee its dependability [1,11,13,15].
Generally, testing of digital microfluidic is a process which includes movement of test droplet in the microfluidic array following a predefined routing path. The first breakthrough in designing digital microfluidics was reported by Fan et al. [16] where an electro-wetting-on dielectric (EWOD)-based digital microfluidic chip was fabricated having a 9 × 9 grid array and where basic fluidic operations (e.g., transportation, cutting and merging of droplets) can be performed. Fault modeling of lab-on-chips involving flowFETs has further guided the way for DMFB testing [17]. The testing of digital microfluidic biochips follows a generic flow of procedures performed during the design stage, the manufacturing process and the assay operation [13]. This flow is shown in Figure 2. The testing of DMFBs starts from the beginning of design phase where defect-aware synthesis method, design for testability and built–in-self test can be applied. After the DMFB manufacturing process, it is tested for any manufacturing defects. If any defect exists in the DMFB, reconfiguration techniques are then adopted.
The main criteria for the testing approach can be broadly divided into two categories: offline testing and online testing [13]. In the offline testing approach, the biochip is tested after the manufacturing process for any defect before it is used in the field. The biochip is discarded if it is deemed faulty due to manufacturing defects. On the other hand, in the online testing approach, the testing of biochip is done concurrently with the bio-assay. The online testing is applied to the DMFBs so that the defects in the biochips can be identified during the bio-assay operations. If any defect is found in DMFB during the online bioassay operation, error recovery techniques and reconfiguration techniques are applied to ensure proper performance of bioassay [15]. Many parameters have been taken into account during the testing of biochips such as testing time, placement of modules, routing plan, fluidic constraints, etc. [12]. If the testing techniques fail to deliver the desired performance after manufacturing or during in-field operations, then the biochip is discarded.
In the following section, the testing techniques for conventional DMFBs will be presented in a more elaborate manner. These testing techniques have shown to test the conventional DMFBs in an efficient manner. We have analyzed these testing techniques in order of their effectiveness throughout the development of various digital microfluidic biochips.

3. Testing Techniques for Conventional Digital Microfluidic Biochips (DMFBs)

Recently, a considerable amount of work has been done in the field of digital microfluidic biochip testing [12,13,17]. The most general form of testing of a DMFB is structural testing where a test droplet moves from source to sink electrode within the two-dimensional array of electrodes on a pre-defined VLSI routing path. If the droplet appears at the sink after the completion of the routing path, the DMFB is said to be fault-free [18]. The subsequent section describes various testing approaches that have been proposed in the literature so far.

3.1. Structural Testing Techniques

The testing of digital microfluidic biochips can be initiated by moving a test droplet in a predefined path from source to sink. This approach is called structural testing [18]. An Integer Linear Programming (ILP) approach was firstly used for structural testing [18,19,20]. A unified mechanism for defect detection was proposed by Su et al. [18]. This concurrent testing approach was used to test catastrophic as well as parametric faults in DMFBs. The test droplets were moved on a predefined routing path of the DMF array which is based on the ILP scheduling approach. The method was validated by performing real-time bioassay on an array of 15 × 15 size. An obstacle-avoiding routing algorithm based on ILP was presented by Chang et al. [19]. The algorithm used for ILP was less complex and it was able to achieve high routability. The ILP-based routing method was also used by Mitra et al. [20] for offline washing of biochips to remove contamination in regular and irregular biochip geometries. A unified detection method was presented to detect catastrophic and parametric defects in the DMFBs [21]. Monte-Carlo simulation was done to study the effects of fluidics and physical parameters on DMFBs. However, as the ILP problem is treated as NP-hard in nature, heuristic solutions were required to solve the problem. Thus, Monte-Carlo and real time search algorithms were proposed [22].
However, due to the increased complexity in the optimization problem, the ILP-based methods are computationally costly when the size of microfluidic array increases. Thus, graph-based testing methodology has been presented to overcome the computational cost of ILP method [23,24,25,26]. Using graph-based methodology, a biochip was modeled as an undirected graph and it was then eulerized. A flow path obtained based on the Euler theorem allowed the test droplet to move easily on the array. A graph-based testing methodology applicable for online and offline applications was proposed by Su et al. [23]. Their testing methodology focused on the detection of catastrophic faults including electrode-shorts faults. In this approach, however, the test application time increases if no edges are available during online testing. This is because the test droplet has to wait at the current cell (electrode) until a free edge is accessible. Additionally, the proposed approach was based on single fault assumption. Mitra et al. [24,25] proposed an improved eulerization test routing technique based on graph model. Here, an optimal eulerization was abstracted in terms of the classical Chinese postman problem. The classical Hierholzer’s algorithm was then used to recognize the Euler tour. The Hierholzer’s algorithm depends on the cycle decomposition method. The test time was significantly reduced and this algorithm was able to be used in test-based route planning. A multi-droplet detection graph based technique was proposed by Majumdar et al. [26] for detecting single fault in DMFBs. These testing droplets were traversed in parallel to perform multi-droplet detection. The test droplets underwent a special movement pattern, i.e., Right-up-Right-Down (RURD). These test droplets scanned the middle cells and edges of the Euler graph. The scanning of boundary cells and edges was done in an anti-clockwise manner. The Euler-based method had shown improvement in terms of fault detection as compared to the existing approaches of structural testing. However, the completion time of Euler path test increased as the size of array increased. Therefore, it was difficult for a single droplet to move from source to sink consisting of very large arrays that involve thousands of electrodes. To tackle this issue, parallel testing approach was presented [27,28,29,30,31]. Parallel testing was introduced by Xu et al. [27] in which parallel test droplets were routed on the electrode arrays. Parallel droplet paths can be used in both online and offline testing. For parallel testing methodology, each array was assigned a testing droplet and a target region as shown in Figure 3. In this testing approach, the start electrodes were treated as pseudo-sources as shown in Figure 3a and the multiple test droplets were moved in parallel from these pseudo sources (Figure 3b). These test droplets traversed parallel to the target regions from where the droplets merged and finally routed to the sink reservoir for further analyzing.
This method is similar to the single droplet scan-like testing. The droplets move in parallel; thus, this method is called parallel scan-like testing. To detect the testing droplets, an enhanced capacitive sensing circuit was employed at the sink reservoir to detect the pulse sequence of multiple test droplets. The testing time significantly reduced to approximately 75% of that required by the Euler test method due to the reduced complexity of fault diagnosis from O(N2) to O(N). Similarly, an integrated testing and diagnosis method was proposed by Davids et al. [28] to locate single and multiple defects with high fault coverage without flooding. In this methodology, structural testing was used for the outer loop of microfluidic array and parallel testing was used for the inner arrays using multiple droplets. Capacitive sensing circuit was employed for the droplet detection at the sink electrode. The diagnosis process involved calculating the number of time steps required to attain the test droplet from the suspicious set.
A structural testing approach that combines both fault detection and fault recovery was proposed by Chen et al. [29] in order to reduce the reliability problem in DMFBs. The microfluidic array was partitioned and the combined binary search and parallel scanning approach was applied to test electrodes in the array.
Diagonal scan-like test (as shown in Figure 4a) was also used to identify undetectable faults which could not be diagnosed using parallel scan-like testing approach. These undetectable defect locations occur when there are multiple defects in the DMFB. Thus, diagonal testing was used where multiple test droplets traversed the array in diagonal manner. Additionally, a binary partitioning was performed repeatedly in order to match any one of the following conditions: (1) there is no undetectable cell in the entire sub-array or (2) there is at least one defect in each row or column. However, the binary portioning becomes impractical when the array is too large and the error rate is high. In this case, local detouring was used as shown in Figure 4b. The local detouring is used whenever there is a defect around the defective cell. Although this local detouring decreases the chance of having undetectable cell, it increases the run-time of error detection algorithms due to the slow movement of test droplet. This problem was tackled by utilizing a more efficient algorithm by selecting the Initial Traversing Depth (ITD).
A parallel testing approach based on a look-ahead strategy using multiple droplets was proposed by Roy et al. [30,31]. Their main aim was to minimize the test application time and optimize the consumption of test resources. In this look ahead strategy, selection of a predetermined path in the layout (which is defect-free) was done in such a way that the test droplet can be moved safely and the test time can be optimized. Additionally, Hightower’s line search-based routing algorithm was used to find the shortest path. A fault diagnosis method based on distributed dispensing and scheduling of multiple test droplets in a time synchronized manner was reported by Mukherjee et al. [32] to avoid routing conflicts in DMFBs (better fault detection). Parallel multiple assays on a restricted sized chip were performed by Dhal et al. [33]. As reported, the probability of locating faults was lower if faults occur at locations far away from the sink and the source. Additionally, some faults could not be detected by parallel testing.
Test time minimization has been the major aim of structural testing in DMFBs. Several works have been done in this area to minimize the test completion time as some assays are time constrained [33,34,35]. The approach proposed by Das et al. [34] was able to reduce the testing time by partitioning the DMFB array into clusters and applying the routing path to each cluster individually. A new pipelined scan-like testing method proposed by Li et al. [35] had managed to minimize the test completion time. The approach was based on the selection of appropriate parameters such as voltage and frequency of actuating the electrodes. The distribution of electric field and its effect on dielectric degradation on the electrodes can then be examined by these parameters. Additionally, pin-constrained chips were used to solve the problem of large number of pins involved in actuating the electrodes (hence minimizing test time). In these biochips, several modules can be identified by the same pin number. The reliabilities of pin-constrained chip designs was investigated by Huang et al. [36] who proposed effective algorithm based on general models to overcome the reliability issues in Pin-constrained digital microfluidic biochips (PDMFBs).

3.2. Functional Test Methods

Functional test methods have been proposed to overcome the limitations of structural test methods as these methods are inadequate for testing fluidic operations performed on the array such as mixing/splitting of droplets and dispensing of droplet from the source [37,38,39]. Structural testing approaches dealt with the presence/absence of test droplet at the sink by moving the test droplets across the microfluidic arrays. Since, mixing/splitting and droplet dispensing operations may suffer from volume variation during in-field operations which causes parametric variations, additional test methods were required to test the volume variation of droplet in these functional modules of DMFBs [37,38]. Additionally, the structural test methods do not cover the testing of non-reconfigurable components of biochip system such as capacitive sensing circuits. The occurrence of any defect would lead to parametric as well as catastrophic failure during the bioassay operation. Thus, functional testing must be performed to validate the reliabilities of these biochip components. In order to ensure proper operations of functional units such as mixing, splitting and capacitive sensing various approaches were proposed [37,38]. A capacitive sensing based dispensing was used by Xu et al. [38] to detect the droplet dispensing failures as shown in Figure 5. The droplet volume variation due to any defect was detected at the sink electrode with the help of an oscillator circuit (Figure 5a). This oscillator circuit outputs the capacitance of droplet in the form of waveform as can be seen in Figure 5b. In the defect-free case, full waveform is expected and the dispensing failure is reflected as a deviated waveform from the oscillator output.
In this approach, two threshold values of pulse amplitude obtained from the calibration of sensing circuit were used to identify two abnormal droplets. Thus, the sensitivity (normal, oversensitive or insensitive) of the capacitive sensing circuit was determined by the amplitude of positive pulse. Additionally, functional testing for mixing operation was done by testing the “merge and route operations” within the target electrode clusters. In order to achieve robust assays execution, Mitra et al. [39] proposed accelerated functional testing. Fewer possible steps were used to detect major defects so that the test time could be reduced and the electrode degradation resulting from the application of extreme actuation voltage could be minimized. An optimal bidirectional routing test and an accelerated test for mixing/splitting that require very few droplet manipulation steps and lesser execution time was presented in their work. The approach by Shih et al. [40] implemented a sensing and feedback control system for the monitoring of droplet movements in such a way that if any failure is observed, the application of additional driving voltages could help the droplet to complete the desired task. This sensing system was evaluated using liquids such as water and methanol. This sensing control system was simple and inexpensive.
The main disadvantage of functional testing is the increased assay completion time due to the detection of core defects and malfunctions. One of the reasons behind this is that fewer unit cells are available for the assay operations in fault detection and fault location and less parallelism is used in the synthesis process during assay execution. Furthermore, the complexities involved in capacitive sensing circuits and the associated test analysis pattern in the functional testing make it unfeasible for field operations.

3.3. Defect Tolerance Techniques

Defect tolerance techniques have been proposed so that a DMFB is capable of performing assay operations even when there are defects on the biochip [41]. Generally in DMFBs, defect tolerance is realized by including redundant elements in the system to replace the faulty elements by using reconfiguration techniques. Another substitute for defect tolerance has been based on graceful degradation. In this technique, the elements in the system are treated in a uniform manner without being assigned as spare elements. When any defect occurs, a subsystem is chosen from the faulty system to perform the desired operation functionality but with a gracefully-degraded level of performance in terms of longer operation time.
A popular and efficient defect tolerance technique for DMFBs was proposed by Su et al. [42]. The dynamic re-configurability inherited in DMFBs was adopted by the proposed approach to bypass the faulty electrodes in the array. Three reconfiguration techniques, i.e., local, partial and full reconfigurations with different fault tolerance methodologies were presented. This methodology ensured that the biomedical assays will be able to perform well even in defective biochips using these reconfiguration techniques. The proposed approach was evaluated by real-time biomedical assays. The reconfiguration approach ensures a longer lifetime of biochip and a higher production yield. However, the reconfiguration cost increased due to the utilization of spare cells located at the boundary row/column. In order to overcome this, an interstitial approach was incorporated [43]. The spare cells were placed in the interstitial sites of the DMFB array. These spare cells would replace any faulty cells which were situated adjacent to it. Thus, defect tolerance was achieved effectively by using the local reconfiguration techniques.
Placement of microfluidic modules plays an important role to ease the re-configurability of fault tolerance. Thus, Su et al. [44] presented a module placement technique based on simulated annealing. Due to NP-completeness of placement problem, module placement technique based on simulated annealing was used so that the problem can be solved in a computationally efficient manner. Later, for large microfluidic arrays, Su et al. [42] proposed a defect tolerance technique based on graceful degradation of electrodes and dynamic reconfigurations of DMFBs. A scalable tile-based DMFB architecture was introduced for large bio-assay applications. Arrays of reconfigurable tiles in this architecture were used to perform basic microfluidic operations.
Apart from reconfiguration techniques, Zhao et al. [44] presented an Automated Test Pattern Generation (ATPG) method for non-regular layout of digital microfluidic biochips. This ATPG can be fully utilized on the non-regular layout where reconfiguration techniques are not fully utilized. Due to the automation of test stimulus design and test resource selection, the test time was minimized. To compact the test patterns, an ILP-based model was presented while preserving the preferred fault coverage. For the detection of catastrophic defect, the electrode was treated as a “buffer”. The input of this buffer was connected to the previous electrode. The test droplet moved from the previous electrode through the buffer to the output electrode which was connected at the buffer output. Dijkstra’s algorithm was also presented to solve the problem of selecting the reservoir and determining the minimum routing path. Additionally, the redundant test patterns were deleted by the process called test pattern-compaction.
The defect tolerance techniques have proved to be efficient when the error occurs during in-field operations of DMFBs. However, these techniques lead to an increase in the area overhead of the biochips due to the addition of spare elements. Additionally, the bio-assay operation time also increases in case of degraded performance which is not suitable for the bio-assay applications which are time specific.

3.4. Built-in-Self-Test (BIST)

Due to the complexity of analyzing test outcomes in terms of pulse sequences, sensitive nature of capacitive sensors and inaccuracies in sensor calibrations, the Built-in-Self-Test (BIST) method has been proposed for biochips [45,46,47]. However, as stated earlier, the use of capacitive sensing circuits and examination of the pulse sequence of the output makes the previously proposed methods impractical in field operations. Therefore, microfluidics compactors based on droplet AND gates were utilized in BIST testing methodology [47]. For the AND gate implementation, logic values “0” and “1” were referred as absence and presence of liquid droplet, respectively. This approach guaranteed low area overhead and it was able to operate bio-assays due to the dynamic reconfiguration of compactors used. All test droplets were compressed by the compactor used in this technique into a “signature “droplet. This “signature” droplet can then be detected at the sink by a photo-detector composed of photo-diode and LED as shown in Figure 6a,b, avoiding the requirement of using capacitive sensing circuits. The use of a compactor reduces the number of sources and sinks to only one; thus, the costs of fabrication and chip packaging can be reduced. The use of compactor ensures zero aliasing, thus providing complete fault coverage of single as well as multiple electrodes as it does not mask any error in the microfluidic array. A very small array has been used for the verification of the compactor.
The extended work by Zhao et al. [47] presented AND, OR and NOT microfluidics logic gates. The BIST architecture was applied to the pin-constrained digital microfluidics biochips. This architecture was effectively evaluated by using a multiplexed bioassay protocol. However, in pin-constrained DMFB, as the input pins are shared between the electrodes, the problem of electrode interference may appear due to unintentional droplet manipulations. The BIST architecture made up of AND gates could not be realized properly in the pin-constrained chip. This is because of the conflicts that arise due to the steps needed by BIST architecture for fluidic and pin-constrained droplet operations. The input pin may be required by the BIST architecture (test operation) and the fluidic operations (bio-assay operations) at the same time. Thus, a BIST-aware pin-constrained design was presented in their work. Their design approach supported the target bioassay as well as the BIST architecture which include the test techniques and the test results from the droplet compaction. The proposed BIST architecture was also applied to parallel scan-like testing and functional testing. In the case of parallel scan-like testing, a microfluidic compactor was placed to compress the multiple droplets coming from the parallel arrays of biochips. This microfluidic compactor consist of a combination of OR and NOT gates for the functional testing. However, one of the disadvantages of using compactor was that it did not cover some of the untestable defects as mentioned in the previous parallel testing approaches. These approaches focused on the defect detection in the DMFBs but not on the location of defects in the DMFB array.
To overcome the above limitations, a fault diagnosis approach was proposed by Zhao et al. [46] for the detection of defective cells in single and multiple rows or columns in microfluidic arrays. An output compactor based on microfluidics exclusive-OR gates was used in this approach which compresses 2n distinct test outcomes to an n-droplet signature. Thus, the need of using capacitive sensing circuit to analyze the outcome was eliminated. The proposed diagnosis approach was evaluated by analyzing the probability of misdiagnosis which was done by calculating the compression ratio as defined below:
Compression   ratio = Compressed   Size   of   bits Uncompressed   Size   of   bits
where “ Uncompressed   Size ” is the number of bits of the test vector result before the compaction process and “ Compressed   Size ” is the number of bits in the code word after the compaction process.

3.5. Design for Testability

The percentage of functional components or electrodes that can be tested efficiently on a synthesized chip is defined as testability [48]. Design-for-testability (DFT) is achieved by incorporating test procedures into the fluidic manipulation steps in the target bio-assay protocol. A testability approach was developed by Xu et al. [48] for digital microfluidics biochips. In this DFT method, a test design was integrated into the basic fluidic operations of a particular assay procedure. A pin-constrained irregular layout of biochips was considered where the unused electrodes were removed from the electrode arrays to decrease the production cost. However, there existed a tradeoff in the form of additional constraints that these droplet manipulation steps must satisfy. Due to these constraints, the test techniques can become completely inefficient or can only affect a small portion of the biochip. This ultimately reduces the testability of the biochip. Thus, an efficient DFT solution was proposed by the authors to tackle the abovementioned problem. This approach guaranteed lesser completion time for assay operations. In this approach, the testability was divided into two categories namely structural testability and functional testability. The percentage of electrodes that can be tested during structural testing defines structural testability. Similarly, the percentage of functional components (mixing/splitting/dispensing modules) that can be tested using functional testing defines functional testability. Thus, high testability indicated high fault tolerance and increased flexibility for the design. However, in the pin-constrained design of biochips, functional testing could not be applied directly to the functional modules due to problem of electrode interference [48]. Thus, the issue was resolved by applying the test-friendly pin assignment in the early stage of chip design. A broadcast addressing based pin-constrained design was also developed for the proposed test-aware design method.
Further, a test methodology was proposed by Sheikh et al. [49] to monitor the working status of electrodes in DMFBs by classifying the electrode cells into weak, faulty and fault-free cells. By using 180 nm technology, a measurement circuit was built in order to classify the cells into these three categories. The design-for-testability approaches promised to increase the yield of DMFBs. However; there is also a necessity to verify the correctness of on-chip fluidic operations. These approaches are inefficient in monitoring the volume of droplets and sample concentration in the product obtained, which can lead to erroneous assay outcomes when there is a defect in the biochip. Thus error recovery approaches have been proposed in the literature to overcome this limitation.

3.6. Error Recovery

The test approaches mentioned in the previous subsections did not considered the problem of recovering from the fluidic errors that can occur during the on-chip bio-assay operations references. Further, the fluidic manipulations on the DMFBs were carried out without any feedback and the error could only be detected once the whole bio-assay operation is completed. Thus, in the case of any defect, the whole bio-assay operations must be repeated. This ultimately increased the wastage of samples and reagents as well as bio-assay execution time [50,51]. Thus, there was a need to monitor the intermediate results which could be accomplished by inserting a feedback mechanism in the DMFB system during the bio-assay execution so that only part of bio-assay is repeated where the errors are detected.
Various error recovery mechanisms have been proposed for the DMFBs [50,51,52,53,54,55,56,57]. Error recovery can also be incorporated at the synthesis level. A synthesis approach integrating the error recovery mechanism in the DMFBs scheme was proposed by Zhao et al. [50]. The location of fluidic checkpoints was determined by using error propagation method during biochip synthesis. The proposed method was evaluated using real life bio-assays and the completion time was reduced to approximately 30% of that required by the biochip with a control path during the bio-assay implementation. Here the control path was monitored using the control mechanism for the digital microfluidic biochip. The intermediate product droplet was then checked for any defect at the checkpoint where an on-chip photo-detector or a capacitive sensing circuit was situated.
However, checkpoint observation and rollback retrieval mechanism led to increased assay completion time. Thus, Luo et al. [51] proposed a “physical aware” system reconfiguration technique. This technique exploited the sensor data at the checkpoints so that the chip can be configured dynamically. A resynthesis technique was then applied to update the sequence of actuation of electrodes. As a result, new schedules, module placements and routing paths could be generated for the droplets with minimum response time. The proposed method had alleviated the need to perform the whole assay again (if defect was found) by applying a transformative “cyberphysical” approach. In this cyber physical system, re-synthesis process starts when an error is detected at the checkpoint. The biochip was configured dynamically by synchronizing the physical aware control software and the biochip. This allowed the sensor data at the immediate checkpoint to be used as feedback. Additionally, a sensing system based on Charge–Coupled Device (CCD) and optical detector was also proposed in their work.
Further, Luo et al. [52] proposed an integrated hardware rapid error recovery approach (based on an error dictionary). Because of the limited memory available in the hardware (micro-controller), two compaction techniques were used to store the error dictionary. The proposed approach had shown less impact on the response time. Also, the approach was able to be implemented easily on the experimental setup and required lesser memory space in storing the error dictionary. The proposed approach overcomes the shortcomings of previous error recovery approaches which required online resynthesis (if error occurs) and inability of cyberphysical system to be used in flash chemistry. Later, a hardware assisted method was presented Luo et al. [52] that can be implemented in real-time on FPGA. The data compaction technique was used to store the error dictionary in the limited memory space of FPGA. The proposed approach was evaluated using four laboratory protocols and it gives lower response time. Likewise, this approach also utilized a simple experimental setup and a small memory space in the form of FPGA for the dictionary.
An experimental demonstration of coordination between control software and hardware (biochip and sensors) was presented by Hu et al. [54]. Here, the errors were detected by using the capacitive sensors and the test outcome was presented by control hardware. The software-based recovery was done using dynamic reconfiguration. These hardware interfaces were implemented using off-shelf micro-controller. Shift register were used for electrode addressing and FPGA was used for the implementation of frequency divider. Jaress et al. [56] had proposed a compiler and a runtime monitoring system for cyber-physical DMFBs to enable fast dynamic fault recovery. A cyberphysical control algorithm that detect hard and soft faults rectifies dynamically while running the biomedical assay on the biochip was presented. The approach was shown to be scalable and it was able to run efficiently in practical applications; thus, the incurred performance overhead when a hard or soft fault occurs online was limited. Error recovery has proven to be useful for detection of defects in the on-line bioassay operations. However, it shows some limitations for bio-assay operations which are time dependent. The feedback inserted in the microfluidic array increases the portion of assay completion time which is needed to be considered.

3.7. Defect-Aware Synthesis Methods

Apart from ensuring defect-tolerance and error recovery at online operation of bio-assays, the synthesis level of DMFBs has also incorporated defect-tolerance feature [58,59,60,61,62,63]. The main advantage of this feature is that the DMFBs are capable of performing assay operations by adjusting various parameters such as module placement and routing path in case of any defect. Firstly, a simulated annealing based module placement technique was proposed by Su et al. [58] for the DMFBs. In the placement procedure, the fault tolerance was considered so that the microfluidic module can be relocated to other places when defects are found on the electrode. A unified synthesis approach that combines architectural synthesis with defect aware physical design was presented by Xu et al. [59]. Here, the main design criterion was defect-tolerance which allows simultaneous processing of architectural level and defect-tolerant physical designs. The defect-tolerant synthesis was applied on the protein and PCR bio-assays, thus leading to the marginally larger array area and increased time/cost as compared to the defect-oblivious approaches due to the direct consequence of using the reconfiguration techniques.
A droplet routing approach was presented by Zhang et al. [61] for a fault-tolerant DMFB. The proposed technique featured fluid handling operations simultaneously and sensors integrated with the biochip were used for run-time diagnosis. Error recovery was incorporated in this work. The error recovery operation started as soon as fault was detected in the circuit. A synthesis approach was proposed by Alister et al. [64] for fault tolerant application-specific biochip architectures. By utilizing this approach, the redundant electrodes were used to help designers to tolerate catastrophic defects and to increase the yield of biochips. Using this synthesis approach, the allocation and placement of modules and their interconnections could be decided. The optimization problem of placement was solved by applying Simulated Annealing (SA) method. Furthermore, a physical level synthesis flow was proposed by Liao et al. [65]. This approach took into account the variation, defects and contamination of DMFBs. The routing technique for variation, contamination and defect was based on the maze routing integrated to the existing placement technique. The defect-aware synthesis methods provide a promising direction in the testing of digital microfluidic biochips. However, most of the defect-aware synthesis approaches are application specific which restrict their use when a biochip is fabricated for general purpose applications.

3.8. Cross-Contamination Aware Methods and Wash Droplets

During the bioassay operations, the leftovers of residue liquid (from different molecules of samples and reagents) might create contamination problem in digital microfluidic biochips. To overcome this issue, the concept of wash droplets has been proposed in the literature. The wash droplets clean the contaminated surfaces of the electrodes arrays after/before the bio-assay operations so that it does not interfere with other subsequent bio-assay operations. Several works have been done in this area [20,66,67,68,69,70,71,72,73,74].
A contamination aware droplet routing algorithm was proposed by Chiang et al. [67] in order to schedule the wash droplets without the necessity of using extra electrodes. Thus, the execution time did not increase and it helped to improve the reliability and the fault tolerance of DMFB. Additionally, Minimum Cost Circulation (MCC) was utilized so that multiple wash droplets can be used. Similarly, a routing aware placement technique was presented by Roy et al. [68] for the digital microfluidics biochips with a particular prescheduled arrangement of module. The main objective of this placement algorithm was to improve the routing of the droplet by utilizing intelligent collision avoidance and optimized stalling and detour. Due to this reason, the resource utilization and the latest arrival time could be improved.
A concurrent routing scheme was proposed by Pan et al. [72] for multiple washing droplets. The approach ensured that the droplets work with minimum cross contamination. Additionally, a droplet schedule operation for the removal of residue from the contamination site was also proposed. A droplet routing flow was highlighted by Wang et al. [74]. The author studied realistic issues including the constraint of finite washing capacity and the routing conflicts happened between the functional and washing droplets. In order to minimize the washing capacity consumption, functional droplet paths were considered during washing routing. An effective A* algorithm was utilized to find satisfactory paths with minimized crossings. When timing constraints were considered, an efficient compaction algorithm was proposed so that the routing of functional and washing droplets could be scheduled properly.
The remaining residue in the bioassay may mix with the droplets of subsequent bio-assays, which can lead to defective biochips in subsequent bio-assay operations. Hence, the cleaning of pathways by wash droplets is required. An efficient route plan for the wash droplet could minimize the wash time and/or electrode actuation. A wash operation synchronization method was presented by Zhao et al. [66] in order to clean the residues via handling of the wash droplets. The synchronization of wash droplets routing with bioassay droplet routing scheme was done by controlling the order of arrival of droplets at the site of cross-contamination. This resulted in minimization of routing time and it was shown to be effective for chips with tight area constraints. An efficient approach of residue removal in DMFBs was presented by Mitra et al. [20]. The authors formulated the routing problem in terms of graph eulerization and capacitated Chinese postman problem. The use of multiple washing droplets improved the washing time. The use of wash droplets on the surface of electrode increased the reliability of bio-assay operations, hence the DMFBs. However, the presence of washing droplets in the concurrent bio-assay processes increases the risk of defects caused by them such as “stuck wash droplets” in their path, which hinders the routing path of bio-assay operations and can result in erroneous assay outcomes.
We have discussed various testing approaches proposed in the literature so far. It can be seen from the above testing techniques that the conventional DMFBs suffer from many defects starting from the design phase to the operation phase. Thus, various testing techniques have been applied at different abstraction levels of DMFBs. A brief summary of possible defects in DMFBs and the associated testing techniques is presented in Table 1 [37]. It can be seen from the table that most of the defects in DMFB can be tested using structural and functional testing. However, these techniques are impractical if the testing time is prolonged in the case where the bio-assay operations are time-based.
Thus, more advanced approaches such as error recovery, design for testability, defect-aware synthesis methods can be used to overcome the limitations of structural and functional testing. However, error recovery approaches, design-for-testability and defect-aware synthesis methods are useful in error detection during the bio-assay operations. As discussed earlier, the architectural difference in conventional DMFBs and MEDA-based biochips requires additional measures to be taken for the testing of MEDA-based biochips. The MEDA-based biochips include defects in both fluidic and electronic domains. The testing techniques applied for conventional DMFBs only focused on the fluidic domain (i.e., electrode surface) of DMFBs. Thus, the testing techniques for conventional DMFBs cannot be applied directly to the MEDA-based biochips [9,10]. The detailed explanation of architecture of MEDA-based biochips and related testing issues will be explained in the upcoming section.

4. Testing of Micro-Electrode-Dot Array (MEDA)-Based DMFBs

MEDA-based biochips possess scalability, portability and configurability in its architecture. As explained earlier, the conventional DMFBs and MEDA-based biochips differ in their architecture [75]. The routing algorithms that are applied to conventional DMFBs are not partially applicable to MEDA-based biochips as this architecture follows an additional movement called diagonal movement of droplets [9]. The basic architecture of MEDA-based biochips consists of a fluidic domain (physical micro-electrode) and an electronic domain (control/detect circuit). The fluidic domain has two parallel plates as shown in Figure 7a. There is an electronic activation circuitry beneath the physical micro-electrode called control/detect circuit as shown in Figure 7b.
The bottom plate consists of patterned micro-electrodes which are individually connected to the electronic part (activation circuitry) that lies beneath these physical micro-electrodes. The activation circuitries are fabricated in a low-voltage CMOS process. In order to achieve CMOS compatibility, an extended drain MOSFET (EDMOS) called high voltage switch is used under a 3V power supply in order to increase the avalanche breakdown voltage to 25V (Figure 7b) [9,10]. Therefore, the droplets can be actuated easily using the high breakdown voltage (25 V) of the EDMOS switch. In the fluidic domain, the upper electrode is connected to the ground. A hydrophobic layer (typically Teflon or Cytop) is also applied on both surfaces of electrodes in order to decrease the wettability of the surface and to produce a high contact angle (Figure 7a). The bottom micro-electrodes are coated with a dielectric material (typically Parylene C or SiO2) in order to increase the value of applied voltage and to avoid short-circuiting. Due to this dielectric layer, the potential difference is distributed around the system when it is charged. A droplet of finite volume is sandwiched between these two plates [75]. This droplet sits on multiple micro-electrodes in MEDA-based structure. The shape and size of the droplet are changed by dynamically activating the micro-electrodes [8]. The basic operations of MEDA-based biochips include transportation, mixing and splitting of droplets during the bio-assay and detection of the product at sink. As the use of MEDA-based biochips is increasing in biomedical applications, the reliabilities of these biochips become critical. Thus, advanced testing techniques are required for MEDA-based biochips [9,10]. New testing techniques involve defining fault models for MEDA-based biochips. Thus, the existing fault models are modified in terms of MEDA architecture. The upcoming subsections also describe some of the recent testing methodologies used for MEDA-based biochips.

Fault Models for MEDA-Based Digital Microfluidic Biochips

In DMFBs, physical defects at some level of abstraction are represented by fault models. All fault models are applicable to MEDA-based biochips when only a single micro-electrode is considered during the test operation. When multiple micro-electrodes are involved in a bio-assay, the above-mentioned testing techniques for the biochips must be modified to enhance the testing efficiency. The development of testing methodology for MEDA-based biochips requires the development of associated fault models. Thus, we have developed fault models for MEDA-based biochips to cater for cases involving multiple micro-electrodes and defects in one or more micro-electrodes. Table 2 shows the fault models and observable errors for MEDA-based biochips where N represents the number of micro-electrodes [76].
As seen in Table 2, the observable error for one micro-electrode (N = 1) is the same as the conventional DMFBs. However, when more than one micro-electrodes (N > 1) are actuated together to form a droplet, the error in any one of the micro-electrodes is not catastrophic in nature but behaves parametric in nature [13,76]. Some of the defect types which cause different observable error in MEDA based biochips are described below:
  • Dielectric Breakdown: This defect happens due to the application of high voltage on the micro-electrode. Thus, a short is created between the droplet and the physical micro-electrode. When this defect is considered in conventional digital microfluidics involving one droplet per electrode, droplet electrolysis will take place and transportation of the droplet is ceased. However, in the case of MEDA-based biochips, since the droplet consists of many micro-electrodes, defect occurred in any micro-electrode will lead to the localized electrolysis in the micro-electrode. This would result in a reduction in the number of resultant droplet and consequently affects the biomedical assay operation due to droplet slow-down. This defect only affects the fluidic domain of the biochip; the electronic domain remains unaffected.
  • Degradation of the Insulator and Irreversible Charge Concentration: When the micro-electrode is activated for a long duration, this causes irreversible charge concentration near the micro-electrodes. This results in an operation error and impedes the droplet motion. It can also lead to trapping of droplet in the micro-electrode. In the case of multiple micro-electrodes, this defect will lead to the splitting of the remaining portion of the droplet from the stuck droplet, hence further impeding the motion of the remaining droplet. Consequently, unintentional droplet operation would occur due to the variation in interfacial surface tension. This defect has an adverse effect on the droplet motion and its volume.
  • Short-Circuited Micro-Electrodes: This happens due to etching defects. A metal connection between two micro-electrodes is formed by merging two micro-electrodes to form a single micro-electrode. Then, the droplet portion residing on this long micro-electrode is unable to move. Thus, the actuation of this portion of droplet is not achieved. This results in impeded motion and droplet volume reduction. This kind of defect can be found in the fluidic domain of the biochip.
  • Micro-Electrode Open Fault: As each micro-electrode is connected to a separate activation circuitry beneath it, this fault leads to a non-activated micro-electrode when there is an opening in the metal connection between the micro-electrode and the activation circuitry. The droplet on the micro-electrode will not be able to move, causing variations in droplet shape, size and volume. This kind of defect originates from the electronic domain which would affect the fluidic domain.
  • Non-Uniform Dielectric Layer: This is a manufacturing defect. This happens due to the coating failure on micro-electrodes. Due to this defect, the fragments of micro-droplets formed on the surface of micro-electrode could cause inaccurate volume of droplet being travelled to the destination. This defect exists in the fluidic domain.
Apart from the abovementioned defects, several bio-assay dependent defects such as protein fouling would also lead to erroneous behavior of biochips as the surface becomes permanently hydrophilic [76]. This fault is nearly unpredictable as it occurs during the bio-assay execution. We have observed that most of the defects that occur in the electronic domain would also affect the fluidic domain of MEDA-based digital microfluidics biochips. The defects in the fluidic domain are unique and independent from the activation circuitry underneath [10]. Thus, the testing of MEDA-based biochips becomes challenging if there exists some defects in the electronic domain which is not considered in the conventional DMFBs.
Recently, several works on synthesis and testing of MEDA-based microfluidics biochips have been presented [75,76,77,78,79,80,81]. A MEDA architecture-based bio-processor was proposed by Lai et al. [79] which was capable of performing extra functions such as self-testing, qualitative detector, data register and sensing results. This work was mainly related to the functioning of processor for various droplet activities such as merging, cutting and detection. The testing module in this work broadly focused on whether the defect was present or absent in the system regardless of the type of defect and the fault model. Later, a programmable lab-on-CMOS (LoCMOS) with micro-electrode cell array was presented by Lai et al. [78]. Operations such as droplet moving/cutting/mixing on a 2D micro-electrode array were demonstrated in this work. Also, an EDMOS high voltage switch was used to control and detect circuits in order to increase the avalanche voltage breakdown. Another synthesis approach for MEDA-based biochips was proposed by Li et al. [9] in which the scheduling, module placement, routing of droplet of various sizes were discussed. A general analytical model for droplet velocity is presented and validated through fabricated MEDA- based biochips. A size-aware droplet router was also proposed which is capable of routing the droplets of different sizes in MEDA-based biochips.
However, in order to obtain a high yield of MEDA-based biochips, testing of the MEDA architecture is needed before it is used for bio-assay operations. Limited amount of work has been done in the field of MEDA-based biochip testing [75]. Testing requirements for MEDA-based biochips was first discussed by Shukla et al. [75]. The advantage of diagonal movement of droplet in MEDA-based biochips for testing was also discussed by Shukla et al. [77]. In this approach, the capability of diagonal testing in detecting the undetectable faults was analyzed in comparison to parallel testing. Later, an oscillation-based testing methodology for the defect detection in MEDA-based biochips was presented by Shukla et al. [76] focusing on the offline error detection after the manufacturing process. The effects of change in droplet capacitances of single and multiple micro-electrodes on the oscillation-based sensing circuit were also analyzed. The results showed that any defect in micro-electrode would affect the output frequency. Recently, an efficient error recovery technique for on-line errors (errors produced during field operation of mixing/splitting) was proposed by Li et al. [80] for the MEDA-based digital microfluidics biochips. Local recovery methods based on Probabilistic–Timed Automata (PTA) were presented for error-recovery in MEDA-based biochips. Error recovery methods for localized error (e.g., unbalanced splitting and inadequate mixing) and complete bioassay have been discussed in this work. The vast potential of MEDA-based biochips in bio-medical applications can only be fully exploited when these biochips are reliable. Due to the limited work in the field of testing, there is a requirement of more testing approaches focusing on MEDA-based biochips.

5. Conclusions and Future Work

Due to the complex architecture of MEDA, the number of testing work performed in this area is rather limited. Owing to various advantages of MEDA-based biochips over conventional DMFBs, its full potential must be explored and analyzed. This paper has presented various testing methodologies used for conventional digital microfluidic biochips. Testing methodologies such as structural testing, functional testing, error recovery, design for testability, etc. have pathed ways for DMFBs to be applied in various biomedical applications. Due to the increase in bioassay applications, MEDA-based biochips are more suitable in these bio-medical environments. Thus, the testing of these biochips is important. This paper has presented some previous works which are related to conventional DMFBs testing and MEDA-based biochip testing. Fault models for MEDA are also presented by focusing on types of defects occurring in these biochips. Thus, it is indeed necessary to develop new testing methodologies for MEDA-based biochips.

Acknowledgments

This work is supported by ERGS Grant under ERGS/1/2013/TK02/UTP/02/02 and E-Science grant (0153AB-B65) (MOSTI). We acknowledge support from Centre for Intelligent Signal and Imaging Research (CISIR), Electrical & Electronic Engineering Department, Universiti Teknologi PETRONAS.

Author Contributions

V.S. collected the data. V.S. and F.A.H. wrote the paper. N.H.H. and N.B.Z.A. analyzed and critically reviewed the paper.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Su, F.; Chakrabarty, K.; Fair, R.B. Microfluidics-based biochips: Technology issues, implementation platforms, and design-automation challenges. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2006, 25, 211–223. [Google Scholar] [CrossRef]
  2. Choi, K.; Ng, A.H.; Fobel, R.; Wheeler, A.R. Digital microfluidics. Annu. Rev. Anal. Chem. 2012, 5, 413–440. [Google Scholar] [CrossRef] [PubMed]
  3. Whitesides, G.M. The origins and the future of microfluidics. Nature 2006, 442, 368–373. [Google Scholar] [CrossRef] [PubMed]
  4. Ward, T.; Faivre, M.; Abkarian, M.; Stone, H.A. Microfluidic flow focusing: Drop size and scaling in pressure versus flow-rate-driven pumping. Electrophoresis 2005, 26, 3716–3724. [Google Scholar] [CrossRef] [PubMed]
  5. Cho, S.K.; Moon, H.; Kim, C.J. Creating, transporting, cutting, and merging liquid droplets by electrowetting-based actuation for digital microfluidic circuits. J. Microelectromech. Syst. 2003, 12, 70–80. [Google Scholar]
  6. Wang, G.; Teng, D.; Fan, S.K. Digital microfluidic operations on micro-electrode dot array architecture. IET Nanobiotechnol. 2011, 5, 152–160. [Google Scholar] [CrossRef] [PubMed]
  7. Chen, Z.; Teng, D.H.Y.; Wang, G.C.J.; Fan, S.K. Droplet routing in high-level synthesis of configurable digital microfluidic biochips based on microelectrode dot array architecture. BioChip J. 2011, 5, 343–352. [Google Scholar] [CrossRef]
  8. Wang, G.; Teng, D.; Fan, S.K. Three-dimensional digital microfluidics and applications. In Proceedings of the 7th IEEE International Conference on Nano/Micro Engineered and Molecular Systems (NEMS), Kyoto, Japan, 5–8 March 2012; pp. 415–418. [Google Scholar]
  9. Li, Z.; Lai, K.Y.T.; Yu, P.H.; Ho, T.Y.; Chakrabarty, K.; Lee, C.Y. High-level synthesis for micro-electrode-dot-array digital microfluidic biochips. In Proceedings of the 53rd Annual Design Automation Conference, Austin, TX, USA, 5–9 June 2016. [Google Scholar]
  10. Li, Z.; Lai, K.Y.T.; Yu, P.H.; Chakrabarty, K.; Ho, T.Y.; Lee, C.Y. Built-in self-test for micro-electrode-dot-array digital microfluidic biochips. In Proceedings of the 2016 IEEE International on Test Conference (ITC), Fort Worth, TX, USA, 15–17 November 2016. [Google Scholar]
  11. Chakrabarty, K. Towards fault-tolerant digital microfluidic lab-on-chip: Defects, fault modeling, testing, and reconfiguration. In Proceedings of the Biomedical Circuits and Systems Conference, Baltimore, MD, USA, 20–22 November 2008; pp. 329–332. [Google Scholar]
  12. Chakrabarty, K.; Pop, P.; Ho, T.Y. Digital microfluidic biochips: functional diversity, more than moore, and cyberphysical systems. In Proceedings of the Seventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, Taipei, Taiwan, 9–14 October 2011; pp. 377–378. [Google Scholar]
  13. Chakrabarty, K. Design, testing, and applications of digital microfluidics-based biochips. In Proceedings of the 18th International Conference on VLSI Design, Kolkata, India, 3–7 January 2005; pp. 221–226. [Google Scholar]
  14. Dinh, T.A.; Yamashita, S.; Ho, T.Y.; Chakrabarty, K. A general testing method for digital microfluidic biochips under physical constraints. In Proceedings of the 2015 IEEE International on Test Conference (ITC), Anaheim, CA, USA, 6–8 October 2015; pp. 1–8. [Google Scholar]
  15. Mukherjee, T. Design automation issues for biofluidic microchips. In Proceedings of the 2005 IEEE/ACM International Conference on Computer-Aided Design, San Jose, CA, USA, 6–10 November 2005; pp. 463–470. [Google Scholar]
  16. Fan, S.K.; Hashi, C.; Kim, C.J. Manipulation of multiple droplets on N/spl times/M grid by cross-reference EWOD driving scheme and pressure-contact packaging. In Proceedings of the Sixteenth Annual International Conference on Micro Electro Mechanical Systems, Kyoto, Japan, 23–23 January 2003; pp. 694–697. [Google Scholar]
  17. Bohringer, K. Towards optimal strategies for moving droplets in digital microfluidic systems. In Proceedings of the 2004 IEEE International Conference on Robotics and Automation, New Orleans, LA, USA, 26 April–1 May 2004; pp. 1468–1474. [Google Scholar]
  18. Su, F.; Ozev, S.; Chakrabarty, K. Concurrent testing of droplet-based microfluidic systems for multiplexed biomedical assays. In Proceedings of the 2004 International Test Conference, Charlotte, NC, USA, 26–28 October 2004; pp. 883–892. [Google Scholar]
  19. Chang, J.W.; Huang, T.W.; Ho, T.Y. An ILP-based obstacle-avoiding routing algorithm for pin-constrained EWOD chips. In Proceedings of the 17th Asia and South Pacific on Design Automation Conference (ASP-DAC), Sydney, NSW, Australia, 30 January–2 February 2012; pp. 67–72. [Google Scholar]
  20. Mitra, D.; Ghoshal, S.; Rahaman, H.; Chakrabarty, K.; Bhattacharya, B.B. Offline washing schemes for residue removal in digital microfluidic biochips. ACM Trans. Des. Autom. Electron. Syst. (TODAES) 2015, 21, 17. [Google Scholar] [CrossRef]
  21. Su, F.; Chakrabarty, K. Unified high-level synthesis and module placement for defect-tolerant microfluidic biochips. In Proceedings of the 42nd annual Design Automation Conference, Anaheim, CA, USA, 13–17 June 2005; pp. 825–830. [Google Scholar]
  22. Su, F.; Ozev, S.; Chakrabarty, K. Ensuring the operational health of droplet-based microelectrofluidic biosensor systems. IEEE Sens. J. 2005, 5, 763–773. [Google Scholar]
  23. Su, F.; Ozev, S.; Chakrabarty, K. Test planning and test resource optimization for droplet-based microfluidic systems. In Emerging Nanotechnologies; Tehranipoor, M., Ed.; Springer: New York, NY, USA, 2008; pp. 267–286. [Google Scholar]
  24. Mitra, D.; Ghoshal, S.; Rahaman, H.; Chakrabarty, K.; Bhattacharya, B.B. Testing of digital microfluidic biochips using improved eulerization techniques and the Chinese postman problem. In Proceedings of the 19th IEEE Asian on Test Symposium (ATS), Shanghai, China, 1–4 December 2010; pp. 111–116. [Google Scholar]
  25. Mitra, D.; Ghoshal, S.; Rahaman, H.; Chakrabarty, K.; Bhattacharya, B.B. Test planning in digital microfluidic biochips using efficient eulerization techniques. J. Electron. Test. 2011, 27, 657–671. [Google Scholar] [CrossRef]
  26. Majumder, M.; Ray, S.; Roy, S. A multi droplets detection technique for single-fault in digital micro-fluidic biochip. In Computational Intelligence and Information Technology; Springer: Berlin/Heidelberg, Germany, 2011; pp. 506–511. [Google Scholar]
  27. Xu, T.; Chakrabarty, K. Parallel scan-like testing and fault diagnosis techniques for digital microfluidic biochips. In Proceedings of the 12th IEEE European on Test Symposium, Freiburg, Germany, 20–24 May 2007; pp. 63–68. [Google Scholar]
  28. Davids, D.; Joshi, B.; Mukherjee, A.; Ravindran, A. A fault detection and diagnosis technique for digital microfluidic biochips, Mixed-Signals. In Proceedings of the IEEE 14th International on Mixed-Signals, Sensors, and Systems Test Workshop, Vancouver, BC, Canada, 18–20 June 2008; pp. 1–4. [Google Scholar]
  29. Chen, Y.; Khwa, W.S.V.; Yu, M.H.V. Fault detection and fault tolerant design for microfluidic based biochips. Proc EIASC 2010, 18. Available online: https://www.semanticscholar.org/paper/Fault-Detection-and-Fault-Tolerant-Design-for-Micr-Chen-Khwa/0f8ab8086b07fb47024fcccec4b59b439a0f7541 (accessed on 21 July 2017).
  30. Roy, P.; Rahaman, H.; Dasgupta, P.; Bhattacharya, B.B. A new look ahead technique for customized testing in digital microfluidic biochips. In Proceedings of the IEEE 21st Asian on Test Symposium (ATS), Niigata, Japan, 19–22 November 2012; pp. 25–30. [Google Scholar]
  31. Roy, P.; Rahaman, H.; Gupta, P.; Dasgupta, P. A new customized testing technique using a novel design of droplet motion detector for digital microfluidic biochip systems. In Proceedings of the 2013 International Conference on Advances in Computing, Communications and Informatics (ICACCI), Mysore, India, 22–25 August 2013; pp. 897–902. [Google Scholar]
  32. Mukherjee, S.; Samanta, T. Distributed scan like fault detection and test optimization for digital microfluidic biochips. J. Electron. Test. (JETTA) 2015, 31, 311–319. [Google Scholar] [CrossRef]
  33. Dhal, D.; Datta, P.; Chakrabarty, A.; Pal, R.K. Enhancement of multiple parallel assay operations with cross contamination avoidance in a given biochip. In Proceedings of the 2014 International Conference on Electronics and Communication Systems (ICECS), Coimbatore, India, 13–14 February 2014; pp. 1–7. [Google Scholar]
  34. Das, S. Timing constraint of test droplets during structural testing of a bi-partitioned digital Micro-fluidic biochip. In Proceedings of the 2014 International Conference on Advances in Electrical Engineering (ICAEE), Vellore, India, 9–11 January 2014; pp. 1–4. [Google Scholar]
  35. Li, Z.; Dinh, T.A.; Ho, T.Y.; Chakrabarty, K. Reliability-driven pipelined scan-like testing of digital microfluidic biochips. In Proceedings of the 2014 IEEE 23rd Asian on Test Symposium (ATS), Hangzhou, China, 16–19 November 2014; pp. 57–62. [Google Scholar]
  36. Huang, T.W.; Ho, T.Y.; Chakrabarty, K. Reliability-oriented broadcast electrode-addressing for pin-constrained digital microfluidic biochips. In Proceedings of the International Conference on Computer-Aided Design, San Jose, CA, USA, 7–10 November 2011; pp. 448–455. [Google Scholar]
  37. Xu, T.; Chakrabarty, K. Functional testing of digital microfluidic biochips. In Proceedings of the 2007 IEEE International on Test Conference (ITC), Santa Clara, CA, USA, 21–26 October 2007; pp. 1–10. [Google Scholar]
  38. Xu, T.; Chakrabarty, K. Fault modeling and functional test methods for digital microfluidic biochips. IEEE Trans. Biomed. Circuits Syst. 2009, 3, 241–253. [Google Scholar] [CrossRef] [PubMed]
  39. Mitra, D.; Ghoshal, S.; Rahaman, H.; Bhattacharya, B.B.; Majumder, D.D.; Chakrabarty, K. Accelerated functional testing of digital microfluidic biochips. In Proceedings of the 17th Asian Test Symposium (ATS), Sapporo, Japan, 24–27 November 2008; pp. 295–300. [Google Scholar]
  40. Shih, S.C.; Fobel, R.; Kumar, P.; Wheeler, A.R. A feedback control system for high-fidelity digital microfluidics. Lab Chip 2011, 11, 535–540. [Google Scholar] [CrossRef] [PubMed]
  41. Wang, Z.; Chakrabarty, K.; Tehranipoor, M. Built-in self-test and defect tolerance in molecular electronics-based nanofabrics. In Emerging Nanotechnologies; Springer: New York, NY, USA, 2008; pp. 33–61. [Google Scholar]
  42. Su, F.; Chakrabarty, K. Defect tolerance for gracefully-degradable microfluidics-based biochips. In Proceedings of the 23rd IEEE on VLSI Test Symposium, Palm Springs, CA, USA, 1–5 May 2005; pp. 321–326. [Google Scholar]
  43. Su, F.; Chakrabarty, K. Reconfiguration Techniques for Digital Microfluidic Biochips. 2005. Available online: http://people.ee.duke.edu/~krish/cr1043.pdf (accessed on 19 July 2017).
  44. Zhao, Y.; Chakrabarty, K. Testing of low-cost digital microfluidic biochips with non-regular array layouts. In Proceedings of the 19th IEEE Asian on Test Symposium (ATS), Shanghai, China, 1–4 December 2010; pp. 27–32. [Google Scholar]
  45. Zhao, Y.; Chakrabarty, K. On-line testing of lab-on-chip using digital microfluidic compactors. In Proceedings of the 14th IEEE International on On-Line Testing Symposium (IOLTS), Rhodes, Greece, 7–9 July 2008; pp. 213–218. [Google Scholar]
  46. Zhao, Y.; Chakrabarty, K. Fault diagnosis for lab-on-chip using digital microfluidic logic gates. In Proceedings of the IEEE International on Mixed-Signals, Sensors, and Systems Test Workshop, Vancouver, BC, Canada, 18–20 June 2008; pp. 1–6. [Google Scholar]
  47. Zhao, Y.; Xu, T.; Chakrabarty, K. Built-in self-test and fault diagnosis for lab-on-chip using digital microfluidic logic gates. In Proceedings of the 2008 IEEE International on Test Conference (ITC), Santa Clara, CA, USA, 28–30 October 2008; pp. 1–10. [Google Scholar]
  48. Xu, T.; Chakrabarty, K. Design-for-testability for digital microfluidic biochips. In Proceedings of the 27th IEEE on VLSI Test Symposium, Santa Cruz, CA, USA, 3–7 May 2009; pp. 309–314. [Google Scholar]
  49. Sheikh, M.A.; Ali, N.B.Z.; Hamid, N.H.; Hussin, F.A.; Shukla, V. On enhancing the reliability of digital microfluidic biochips (DMFB) through electrode cells health classification. In Proceedings of the 2015 6th Asia Symposium on Quality Electronic Design (ASQED), Kula Lumpur, Malaysia, 4–5 August 2015; pp. 186–191. [Google Scholar]
  50. Zhao, Y.; Xu, T.; Chakrabarty, K. Integrated control-path design and error recovery in the synthesis of digital microfluidic lab-on-chip. ACM J. Emerg. Technol. Comput. Syst. (JETC) 2010, 6, 11. [Google Scholar] [CrossRef]
  51. Luo, Y.; Chakrabarty, K.; Ho, T.Y. A cyberphysical synthesis approach for error recovery in digital microfluidic biochips. In Proceedings of the 2012 IEEE on Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, Germany, 12–16 March 2012; pp. 1239–1244. [Google Scholar]
  52. Luo, Y.; Chakrabarty, K.; Ho, T.Y. Error recovery in cyberphysical digital microfluidic biochips. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2013, 32, 59–72. [Google Scholar] [CrossRef]
  53. Alistar, M.; Pop, P.; Madsen, J. Online synthesis for error recovery in digital microfluidic biochips with operation variability. In Proceedings of the 2012 Symposium on Design, Test, Integration and Packaging of MEMS/MOEMS (DTIP), Cannes, France, 25–27 April 2012; pp. 53–58. [Google Scholar]
  54. Hu, K.; Hsu, B.N.; Madison, A.; Chakrabarty, K.; Fair, R. Fault detection, real-time error recovery, and experimental demonstration for digital microfluidic biochips. In Proceedings of the Conference on Design, Automation and Test in Europe, Grenoble, France, 18–22 March 2013; pp. 559–564. [Google Scholar]
  55. Hu, K.; Ibrahim, M.; Chen, L.; Li, Z.; Chakrabarty, K.; Fair, R. Experimental demonstration of error recovery in an integrated cyberphysical digital-microfluidic platform. In Proceedings of the 2015 IEEE on Biomedical Circuits and Systems Conference (BioCAS), Atlanta, GA, USA, 22–24 October 2015; pp. 1–4. [Google Scholar]
  56. Jaress, C.; Brisk, P.; Grissom, D. Rapid online fault recovery for cyber-physical digital microfluidic biochips. In Proceedings of the 2015 IEEE 33rd on VLSI Test Symposium (VTS), Napa, CA, USA, 27–29 April 2015; pp. 1–6. [Google Scholar]
  57. Luo, Y.; Chakrabarty, K.; Ho, T.Y. Dictionary-based error recovery in cyberphysical digital-microfluidic biochips. In Proceedings of the International Conference on Computer-Aided Design, San Jose, CA, USA, 5–8 November 2012; pp. 369–376. [Google Scholar]
  58. Su, F.; Chakrabarty, K. Module placement for fault-tolerant microfluidics-based biochips. In Proceedings of the 41st annual Design Automation Conference, San Diego, CA, USA, 7–11 June 2004; pp. 682–710. [Google Scholar]
  59. Xu, T.; Chakrabarty, K.; Su, F. Defect-aware synthesis of droplet-based microfluidic biochips. In Proceedings of the 20th International Conference on VLSI Design, Bangalore, India, 6–10 January 2007; pp. 647–652. [Google Scholar]
  60. Yuh, P.H.; Yang, C.L.; Chang, Y.W. Placement of digital microfluidic biochips using the T-tree formulation. In Proceedings of the 43rd annual Design Automation Conference, San Francisco, CA, USA, 24–28 July 2006; pp. 931–934. [Google Scholar]
  61. Zhang, X.; van Proosdij, F.; Kerkhoff, H.G. A droplet routing technique for fault-tolerant digital microfluidic devices. In Proceedings of the IEEE 14th International on Mixed-Signals, Sensors, and Systems Test Workshop, Vancouver, BC, Canada, 18–20 June 2008; pp. 1–7. [Google Scholar]
  62. Maftei, E.; Pop, P.; Vladicescu, F.P. Synthesis of reliable digital microfluidic biochips using Monte Carlo simulation. In Proceedings of the European Safety and Reliability Conference, Valencia, Spain, 22–25 September 2008; pp. 2333–2341. [Google Scholar]
  63. Xu, T.; Chakrabarty, K.; Pamula, V.K. Defect-tolerant design and optimization of a digital microfluidic biochip for protein crystallization. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2010, 29, 552–565. [Google Scholar] [CrossRef]
  64. Alistar, M.; Pop, P.; Madsen, J. Application-specific fault-tolerant architecture synthesis for digital microfluidic biochips. In Proceedings of the 2013 18th Asia and South Pacific on Design Automation Conference (ASP-DAC), Yokohama, Japan, 22–25 January 2013; pp. 794–800. [Google Scholar]
  65. Liao, C.; Hu, S. Physical-level synthesis for digital Lab-on-a-Chip considering variation, contamination, and defect. IEEE Trans. Nanobiosci. 2014, 13, 3–11. [Google Scholar] [CrossRef] [PubMed]
  66. Zhao, Y.; Chakrabarty, K. Cross-contamination avoidance for droplet routing in digital microfluidic biochips. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2012, 31, 817–830. [Google Scholar] [CrossRef]
  67. Chiang, T.W.; Liu, C.H.; Huang, J.D. Graph-based optimal reactant minimization for sample preparation on digital microfluidic biochips. In Proceedings of the 2013 International Symposium on VLSI Design, Automation, and Test (VLSI-DAT), Hsinchu, Taiwan, 22–24 April 2013; pp. 1–4. [Google Scholar]
  68. Roy, P.; Rahaman, H.; Dasgupta, P. Routing-aware placement technique for intelligent collision avoidance in digital microfluidic biochips. In Proceedings of the 2011 3rd Asia Symposium on Quality Electronic Design (ASQED), Kuala Lumpur, Malaysia, 19–20 July 2011; pp. 85–94. [Google Scholar]
  69. Roy, P.; Bhattacharjee, R.; Sohid, M.; Chakraborty, S.; Rahaman, H.; Dasgupta, P. An intelligent compaction technique for pin constrained routing in cross referencing digital microfluidic biochips. In Proceedings of the Eighth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, Tampere, Finland, 7–12 October 2012; pp. 423–432. [Google Scholar]
  70. Mitra, D.; Ghoshal, S.; Rahaman, H.; Chakrabarty, K.; Bhattacharya, B.B. On residue removal in digital microfluidic biochips. In Proceedings of the 21st Edition of the Great Lakes Symposium on Great Lakes Symposium on VLSI, Lausanne, Switzerland, 2–4 May 2011; pp. 391–394. [Google Scholar]
  71. Mitra, D.; Ghoshal, S.; Rahaman, H.; Chakrabarty, K.; Bhattacharya, B.B. Automated path planning for washing in digital microfluidic biochips. In Proceedings of the 2012 IEEE International Conference on Automation Science and Engineering (CASE), Seoul, Korea, 20–24 August 2012; pp. 115–120. [Google Scholar]
  72. Pan, I.; Chatterjee, S.; Samanta, T. Droplet routing and wash droplet scheduling algorithm to remove cross-contamination in digital microfluidic biochip. In Proceedings of the 2012 12th International Conference on Intelligent Systems Design and Applications (ISDA), Kochi, India, 27–29 November 2012; pp. 155–160. [Google Scholar]
  73. Pan, I.; Samanta, T. A Droplet Clustering and Residue Removal Technique for Cross-contamination Avoidance in Digital Microfluidic Biochip. Int J. Comput. Inf. Syst. Ind. Manag. Appl. MIR Labs J. 2014, 6, 171–183. [Google Scholar]
  74. Wang, Q.; Shen, Y.; Yao, H.; Ho, T.Y.; Cai, Y. Practical functional and washing droplet routing for cross-contamination avoidance in digital microfluidic biochips. In Proceedings of the 2014 51st ACM/EDAC/IEEE on Design Automation Conference (DAC), San Francisco, CA, USA, 1–5 June 2014; pp. 1–6. [Google Scholar]
  75. Shukla, V.; Ali, N.B.Z.; Hussin, F.A.; Zwolinski, M. On testing of MEDA based digital microfluidics biochips. In Proceedings of the 2013 5th Asia Symposium on Quality Electronic Design (ASQED), Penang, Malaysia, 26–28 Aug 2013; pp. 60–65. [Google Scholar]
  76. Shukla, V.; Ali, N. B.B.Z.; Hussin, F.A.; Hamid, N.H.; Sheikh, M.A. Fault Modeling and Simulation of MEDA Based Digital Microfluidics Biochips. In Proceedings of the 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID), Kolkata, India, 4–8 January 2016; pp. 469–474. [Google Scholar]
  77. Shukla, V.; Ali, N.B.B.Z.; Hussin, F.A.; Hamid, N.H.B. Diagonal testing in digital microfluidics biochips using MEDA based approach. In Proceedings of the 2014 5th International Conference on Intelligent and Advanced Systems (ICIAS), Kuala Lumpur, Malaysia, 3–5 June 2014; pp. 1–5. [Google Scholar]
  78. Lai, K.Y.T.; Shiu, M.F.; Lu, Y.W.; Ho, Y.; Kao, Y.C.; Yang, Y.T.; Wang, G.; Liu, K.M.; Chang, H.C.; Lee, C.Y. A field-programmable lab-on-a-chip with built-in self-test circuit and low-power sensor-fusion solution in 0.35 μm standard CMOS process. In Proceedings of the 2015 IEEE Asian on Solid-State Circuits Conference (A-SSCC), Xiamen, China, 9–11 November 2015; pp. 1–4. [Google Scholar]
  79. Lai, K.Y.T.; Yang, Y.T.; Wang, G.; Lu, Y.W.; Lee, C.Y. A digital microfluidic processor for biomedical applications. In Proceedings of the 2013 IEEE Workshop on Signal Processing Systems (SiPS), Taipei City, Taiwan, 16–18 October 2013; pp. 54–58. [Google Scholar]
  80. Li, Z.; Lai, K.Y.T.; Yu, P.H.; Chakrabarty, K.; Pajic, M.; Ho, T.Y.; Lee, C.Y. Error recovery in a micro-electrode-dot-array digital microfluidic biochip. In Proceedings of the 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin, TX, USA, 7–10 November 2016; pp. 1–8. [Google Scholar]
  81. Li, Z.; Lai, K.Y.T.; Yu, P.H.; Chakrabarty, K.; Ho, T.Y.; Lee, C.Y. Droplet Size-Aware High-Level Synthesis for Micro-Electrode-Dot-Array Digital Microfluidic Biochips. IEEE Trans. Biomed. Circuits Syst. 2017, 11, 612–626. [Google Scholar] [CrossRef] [PubMed]
Figure 1. The schematic view of a DMFB (a) Top view of a digital microfluidic biochip system, (b) Cross-section view of the digital microfluidic system showing the sandwiched droplet between the parallel plates.
Figure 1. The schematic view of a DMFB (a) Top view of a digital microfluidic biochip system, (b) Cross-section view of the digital microfluidic system showing the sandwiched droplet between the parallel plates.
Sensors 17 01719 g001
Figure 2. Design flow and test of Digital Microfluidic Biochips.
Figure 2. Design flow and test of Digital Microfluidic Biochips.
Sensors 17 01719 g002
Figure 3. Parallel scan like testing in DMFB (a) Test droplets are dispensed from the source to the start electrodes known as pseudo-sources. (b) An example of test droplets traversing parallel in the columns of electrodes array [27].
Figure 3. Parallel scan like testing in DMFB (a) Test droplets are dispensed from the source to the start electrodes known as pseudo-sources. (b) An example of test droplets traversing parallel in the columns of electrodes array [27].
Sensors 17 01719 g003
Figure 4. Structural testing (a) Diagonal scan-like test to detect undetectable faults; (b) Local detouring for high error rate [29].
Figure 4. Structural testing (a) Diagonal scan-like test to detect undetectable faults; (b) Local detouring for high error rate [29].
Sensors 17 01719 g004
Figure 5. Functional testing (a) capacitive sensing circuit with droplet in the sink electrode (b) test readouts from the sensing circuit for the defect detection in DMFBs [38].
Figure 5. Functional testing (a) capacitive sensing circuit with droplet in the sink electrode (b) test readouts from the sensing circuit for the defect detection in DMFBs [38].
Sensors 17 01719 g005
Figure 6. Microfluidic compactor used for parallel scan like testing with gray boxes representing electrodes, blue circles represent the test droplets and the arrows represent the output of AND logic gate being fed to the input of another AND logic gate: (a) compactor output = “1” which represents the test droplet at the sink electrode and the DMFB is defect free (b) compactor output = “0” which represents the absence of test droplet at the sink electrode and the DMFB is faulty [47].
Figure 6. Microfluidic compactor used for parallel scan like testing with gray boxes representing electrodes, blue circles represent the test droplets and the arrows represent the output of AND logic gate being fed to the input of another AND logic gate: (a) compactor output = “1” which represents the test droplet at the sink electrode and the DMFB is defect free (b) compactor output = “0” which represents the absence of test droplet at the sink electrode and the DMFB is faulty [47].
Sensors 17 01719 g006
Figure 7. (a) MEDA architecture of biochip consisting of physical micro-electrode and the control circuitry underneath. (b) The detailed architecture of control and detect circuit beneath the micro-electrodes [10].
Figure 7. (a) MEDA architecture of biochip consisting of physical micro-electrode and the control circuitry underneath. (b) The detailed architecture of control and detect circuit beneath the micro-electrodes [10].
Sensors 17 01719 g007
Table 1. Summary of testing techniques for DMFBs.
Table 1. Summary of testing techniques for DMFBs.
Defect TypeFault ModelOccurance of DefectTesting Techniques
Used
Related Work
Dielectric BreakdownDroplet-electrode shortManufcaturing/Online(1) Structral testing
(2) Defect tolerance
(3) Error recovery methods
Su et al. [23,43]
Mitra et al. [25]
Irreversible charge concentrationElectrode-stuck--onOnline(1) Structral testing,
(2) Defect tolerance,
(3) Error recovery methods
Roy et al. [30]
Deformation of electrodes during fabricationNo overlap between mixing droplets and the center electrodeFabrication defectFunctional testingXu et al. [37]
Particle contamination that connects two electrodesElectrode-shortManufacturing/Online(1) Structural testing
(2) Contamination-aware test methods
Roy et al. [68]
Sample residue on the surface of electrodesResistive open/contaminationOnlineCross-contamination testing methodsZhao et al. [50,66]
Broken wire to control source due to abnormal metal layerElectrode-openFabrication(1) Structural testing
(2) Error recovery
Hu et al. [54]
Unequal actuation voltagesPressure gradientOnlineFunctional testingXu et al. [38]
Mitra et al. [39]
Non-uniform dielectric layerDielectric islandsManufacturing/OnlineStructural testingSu et al. [43]
Table 2. Fault models for MEDA based biochips
Table 2. Fault models for MEDA based biochips
Cause of DefectDefect TypeFault ModelObservable ErrorAffected Domain
N = 1N > 1
Excessive actuation of voltage applied to the micro-electrodeDielectric breakdownDroplet electrode shortElectrolysis of droplet, no further transportationDragged transportation of the remaining dropletFluidic domain
Micro-electrode actuation for long durationNon uniform dielectric layerDielectric islandsFragments of micro-droplets and its motion is preventedDroplet shape is affected and their movement is preventedFluidic domain
Particle contaminationA particle that connects two or more adjacent micro--electrodesMicro-electrode shortDroplet resides in one or more micro-electrodesUnintentional shape and motion of droplet.Fluidic domain
Excessive mechanical force applied to the biochipMisalignment of parallel platesNet static pressure in some directionDroplet transportation without activation.Transportation of droplet without activationFluidic + electronic domain
Protein adsorption during bioassay(1) Grounding failure
(2) Broken wire to control source
(3) Metal connection between two adjacent micro-electrodes
(1) Floating fragments of droplets
(2) Electrode open (electrode actuation not possible)
(3) Short between two micro-electrodes
droplet sits in the middle of two micro-electrodes and transportation along one or more directions is not possibleDroplet shape, size and volume is affected and the droplet is unable to moveFluidic domain

Share and Cite

MDPI and ACS Style

Shukla, V.; Hussin, F.A.; Hamid, N.H.; Zain Ali, N.B. Advances in Testing Techniques for Digital Microfluidic Biochips. Sensors 2017, 17, 1719. https://doi.org/10.3390/s17081719

AMA Style

Shukla V, Hussin FA, Hamid NH, Zain Ali NB. Advances in Testing Techniques for Digital Microfluidic Biochips. Sensors. 2017; 17(8):1719. https://doi.org/10.3390/s17081719

Chicago/Turabian Style

Shukla, Vineeta, Fawnizu Azmadi Hussin, Nor Hisham Hamid, and Noohul Basheer Zain Ali. 2017. "Advances in Testing Techniques for Digital Microfluidic Biochips" Sensors 17, no. 8: 1719. https://doi.org/10.3390/s17081719

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop