Next Article in Journal
Smart Road Traffic Accidents Reduction Strategy Based on Intelligent Transportation Systems (TARS)
Previous Article in Journal
Optimal Design of a Planar Textile Antenna for Industrial Scientific Medical (ISM) 2.4 GHz Wireless Body Area Networks (WBAN) with the CRO-SL Algorithm
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

An Overview of Non-Destructive Testing Methods for Integrated Circuit Packaging Inspection

Department of Civil and Environmental Engineering, KAIST, 291 Daehakro, Yuseong-gu, Daejeon 34141, Korea
*
Author to whom correspondence should be addressed.
Sensors 2018, 18(7), 1981; https://doi.org/10.3390/s18071981
Submission received: 26 April 2018 / Revised: 15 June 2018 / Accepted: 16 June 2018 / Published: 21 June 2018
(This article belongs to the Section Physical Sensors)

Abstract

:
The article provides a review of the state-of-art non-destructive testing (NDT) methods used for evaluation of integrated circuit (IC) packaging. The review identifies various types of the defects and the capabilities of most common NDT methods employed for defect detection. The main aim of this paper is to provide a detailed review on the common NDT methods for IC packaging addressing their principles of operation, advantages, limitations and suggestions for improvement. The current methods such as, X-ray, scanning acoustic microscopy (SAM), infrared thermography (IRT), magnetic current imaging (MCI) and surface acoustic waves (SAW) are explicitly reviewed. The uniqueness of the paper lies in comprehensive comparison of the current NDT methods, recommendations for the improvements, and introduction of new candidate NDT technologies, which can be adopted for IC packaging.

1. Introduction

As the sizes of electronic circuits are miniaturized from µm to nm, the speed and capacity of integrated circuit (IC) packaging has been improved dramatically [1]. The demand towards smaller size in the packaging has made the IC packaging, one of the fastest-growing technologies over the past half century [2]. As the sizes are getting smaller, the IC packaging are getting more complex, more challenging manufacturing processes are developed and more faulty and damaged packaging are produced. Based on the current trend, there is a growing demand for more advanced, reliable and robust inspection and testing methods.
Non-destructive testing (NDT) has played a crucial role in the integrated circuit (IC) packaging industry, and the relevant research has been conducted intensively since the early1970s [3]. Generally the NDT methods for integrated circuit packaging inspection can be divided in three major categories:
(1)
Functional inspection (electrical tests to find functional faults);
(2)
External inspection of the structure of the package;
(3)
Internal inspection of the structure of the package.
The conventional inspection methods for the IC packaging have been utilised to assess the condition of the IC components for more than half century, but the non-destructive evaluation process recently has become more challenging due to complex structures of the packaging and related defect types. Alongside the visual inspection, X-ray [4,5], Scanning Acoustic Microscopy (SAM) [6,7] can be named as the oldest methods used to inspect the electronic packaging since early 1970s [3]. Over the past two decades, a wide variety of other NDT methods have been adopted for testing and inspection of IC packaging including; infrared thermography (IRT) [8,9] and surface acoustic waves (SAW) [10,11,12,13].
This paper aims to provide an overview on the past and current non-destructive testing methods dealing with the structural integrity of IC packaging (categories 2 and 3). In Section 2, an introduction to the evolution of IC packaging, the related defect types, the current packaging technology and associated challenges are described. Section 3 reviews the common NDT methods used for IC packaging with detailed discussions on their respective advantages, disadvantages, limitations and principles of operation. Section 3 ends introducing an advanced technology candidate to be utilized for IC packaging inspection. Section 4 provides a table that compares various technical aspects of the current NDT methods followed by discussions on the future direction and recommendations on the improvement of NDT methods and in-line application. The paper ends with conclusions in Section 5.

2. The Evolution of IC Packaging, Related Defects and Current Challenges for IC Package Inspection

The evolution trend of microelectronic packaging (or IC packaging) since 1970 can be described as dual in-line package (DIP) and quad flat package (QFP) back in the 1970s, pin grid array (PGA) in 1980s, ball grid array (BGA) in 1990s, and flip chip ball grid array from 2000s. DIP packaging was initially invented in the early 1960s to compensate the need for more signal supply and connectives in integrated circuits. Lifted or missing leads and solder bumps are the most significant defect types for DIP packaging [14]. In 1975, another type of electronic packaging is introduced—quad flat package or QFP. The structure of the QFP is very similar to DIP, but the main difference is that the leads have been extended from all four sides of the surface mounted IC component. In terms of the inspection of the packaging, the change put a higher demand on the accuracy of the soldering process and more importantly the alignments of the packaging [15,16]. In 1985, pin grid array (PGA) was designed. A similar concept to increase the number of connectives for PGA and BGA was utilized. The new design allowed dramatic increase in the number of pin counts with the same dimensions [16]. Defect types in DIP, QFP and PGA packaging are very similar. Solder defects, lifted lead, missing lead can be listed as critical defects in the packaging.
For the inspection of DIP, QFP and PGA packaging, usually X-ray or automated optical inspection (AOI) are utilised to measure height and volume of solder leads. AOI autonomously scans the device under test using a camera mainly looking for soldering defects [17]. Followed by PGA in 1990, ball grid array (BGA) is introduced to the industry. BGA packages are adopted for the devices such as microprocessors. The main advantage of BGA over PGA is that the whole bottom surface of the package is used for connectors, and, due to the shorter leads, they have higher speed performance. On the other hand, soldering process of BGA packaging requires more precise quality control [18]. Soldering fault is one of the most significant defects for BGA packaging. X-ray, thermography and SAM have been adopted in various research studies and industrial applications for BGA packaging [4,5,8,18,19].
With continuous and increasing demand on performance and capacity of the IC packaging, flip chip ball grid array (FCBGA or flip chip) was produced in 2000 and soon became one of the most common and suitable types of IC packaging in the industry [6,7,20]. Flexibility in design, higher signal density with much smaller size are some of the advantages of the FCBGA packaging. FCBGA packages can be mounted on standard printed circuit boards [14]. Figure 1 schematically compares the wire bond BGA and FCBGA packaging with different parts marked.
Defects more frequently occur in FCBGA in comparison with the other types of packaging, and the problem associated with defects is mainly due to the new materials such as lead-free solder bumps or low-k underfill (small dielectric constant) used in the structure of FCBGA [21,22]. Defects in FCBGA occur in different parts of the packaging. In solder joints; void, crack and missing soldering bump are more common amongst the others [21]. In other parts of the packaging such as underfill, delamination, crack or void are the critical defect types [20].
The market demands for even smaller size, ultra-light and ultra-thin packaging resulted in 3D packaging in early 2000s [23]. The main aim of 3D IC packaging is to achieve better performance with reduced power, size, and cost at the same time [24]. Typical Si chips in 3D packages are about 50–100 μm thick, and 90% thinner than the previous packages [23]. Two common configuration for 3D packages are package on package (POP) or stacked die with though-silicon via (TSV) which are presented in Figure 2 with approximate dimensions and cross sections of the layers. In comparison with packages with POP configurations, stacked die with TSV have much smaller solder bumps and more complex interconnections which create more challenges for defect detection methods.
Due to multi-layer nature of the 3D packaging and dramatic increase in number of the components in the package (stacking of multiple chips), the inspection of 3D packaging faces with very serious challenges. The challenges can be listed as: (1) complexity to differentiate the defect between different layers such as stacked dies, SIP substrate or interconnections. (2) The potential to have new defects due to vertical interconnections and complex alignments of the layers. (3) Accessibility to the chip becomes much more limited and complicated comparing to conventional packages. (4) New features such as µ-bumps and thinned stacked dies add more defect types and (5) in terms of chip package interaction (CPI), thermal and mechanical issues become more significant.
Most common defect types of 3D packaging are delamination, crack, misalignment and void in µ-bumps, crack in substrate, delamination and void in under fill or void and delamination in through-silicon via (TSV) [25,26]. For the inspection of microelectronic packaging, the current solution is usually X-ray, lock-in thermography or AOI methods.
AOI uses visible light and is capable of obtaining multiple images from different lighting angels in one scanning operation the current resolution of AOI is approximately 1 µm and it takes several seconds to perform the scan. Automated X-ray inspection (AXI) operates same as AOI, except that the method uses X-ray instead of visible light to inspect internal components of microelectronic packaging. AOI method usually is adopted to inspect the external integrity of the structure of the package and the connections while AXI can be utilised for inspection of internal and external integrity of the packaging simultaneously.

3. NDT Methods for IC Packaging

According to IPC (the association connecting electronics industries) Roadmap for Interconnect Technology [27], simultaneous size reduction and performance improvement still remain as the main challenges of IC packaging [28]. It has been predicted that the structural size of IC packaging will be in the order of few nanometers in next several years [28]. Considering the aforementioned trend, the gap between the IC package size and the spatial resolution of the inspection methods is shown in Figure 3 in terms of volumetric pixel/element (voxel) size of the packaging.
Based on the current gap, the main limitations of the conventional NDT methods are: (1) lack of spatial resolution to meet the requirements of the industry (sub µm scales), (2) lack of automation to assess the integrity of the component, (3) long inspection time not suitable for in-line inspection, and (4) limited inspection capability for inner components of the IC packaging [29,30].

3.1. X-ray

When X-ray is transmitted from an X-ray source to a receiver, X-ray photons interact with the specimen and a portion of X-ray photons are absorbed by the specimen. The level of X-ray absorption depends on the elemental composition of the material and geometries of the specimen. Therefore, presence of defects causes variation to the level of the absorbed energy or the transmitted energy at the receiver. Based on the aforementioned characteristics, the density and shape characteristics of various objects can be measured and inspected by X-ray [31]. A typical X-ray inspection system consists of three components: X-ray source (tube), X-ray detector and a fixture to hold and control the position of the sample for inspection. For the source, microfocus tubes are utilised providing spatial resolution down to 1 µm. The resolution depends on the size of the focal spot (or focus), the area within the tube head that emits the X-ray beam. The most common type of a detector is a combination of video camera and image intensifier that converts X-rays into visible light.
X-ray inspection methods are considered some of the oldest methods in IC packaging inspection [3]. Previously, conventional 2D X-ray inspection methods have been adopted to inspect various parts of IC packaging due to their ability to penetrate substrate materials and detect hidden faults such as voids, delaminations and cracks, within the order of mm, for electronic packaging by providing top-down views of the samples [32]. One major disadvantage of the conventional X-ray methods is that the X-ray resolution cannot provide accurate assessment of BGA and flip-chip components within the range of ten µm [33]. In addition, top-down views are usually insufficient for a thorough assessment of the solder connections in recent electronic devices. To tackle the limitation, isocentric motion technology (IMT) technology was developed [32]. The IMT X-ray inspection method has a mechanism that tilts and rotates the sample under inspection within the X-ray beam cone, creating an oblique view. The oblique viewing provides the third dimension information about solder connections and etc. within the devices. Figure 4 shows the schematic configurations of the IMT X-ray method.
To meet the industry demands for higher accuracy and resolution, improved X-ray methods have been developed [34]: X-ray laminography [4], microlaminography [18] and microscopy [35,36]. According to Sassov et al. [18] … “combination of classical approaches with modern digital acquisition techniques allows improving spatial resolution to µm”. For instance, digital algorithms have been developed to reconstruct individual layers from a set of laminography and microlaminography X-ray measurements. In X-ray laminography and microlaminography, it is possible to analyze distinct layers of flat objects with specific depth resolution of micron [18]. The improved X-ray methods have successfully detected defects such as wafer bump voids with about 2 µm in diameter (Figure 5) [32,37] and cracks in solder interconnects (with size of less than 10 µm) [36] (Figure 6). In addition, the improve X-ray has been used successfully to inspect BGA joints [4,5], solder joints [31], flip chip joints [18,35,38], and FBG solder joints [35].
Although the improved X-ray inspection methods have been confirmed promising to inspect micro bump soldering and alignments of the various parts of packaging (under 10 µm in size), the problem associated with resolution still remains as a challenge for detecting submicron defect. Furthermore, long data acquisition and processing time (few hours) and radiation issue are other drawbacks of the current X-ray methods [39]. The ongoing research is more focused on 3D X-ray imaging using Computed X-ray tomography (CT), which provides three dimensional images of complex structures such as 3D IC packaging. Currently inspection of a SIP package using 3D CT takes from 3 min to 10 min depending on the resolution and package size. 3D CT is able to inspect different layers of 3D packaging provided that the image reconstruction process is complete. Recent advancement in computer technology has made CT a powerful tool for NDT applications and further developments are in progress to shorten the data collection time as well as to improve the resolution. The future development of X-ray method should address multifunctional X-ray tubes, more advance X-ray intensity control to achieve better performance and image quality, high speed digital detectors for a much faster image recording and reconstruction.

3.2. Scanning Acoustic Microscopy (SAM)

SAM method uses acoustic waves as a source to create visual images of variations in the mechanical properties of samples. The main part of the acoustic microscope is the probe or transducer (usually a piezoelectric or loudspeaker depending on the applications), which converts electrical signals into acoustic signals. The acoustic waves are focused and transmitted to the sample through a couplant (normally water). When the generated waves interact with the sample, part of the waves reflects back to the transducer and the other part is transmitted. Subsurface imaging is also possible due to the fact that acoustic waves can penetrate opaque solids. As a result of the interaction of sound with samples. SAM is capable to estimate properties such as thickness, stiffness, density, shape, roughness and attenuation [40]. Generally, SAM provides three scan modes; A-, B-, and C-scan. A-scan displays a reflection signal measured at a single measurement point in a pulse-echo mode. The height of the vertical spikes corresponds to the strength of the echo from the specimen, and the position of the vertical spikes along the horizontal time axis represents the wave travel time in the depth direction [41]. By measuring the arrival time of the peaks, the thickness of the specimen (or the location of internal defect) can be estimated. The principle of B-Scan is the same as that of A-Scan except that the transducer in B-Scan is scanned over a line within the specimen rather than kept in a fixed position [40]. From the B-scan, the cross-sectional image along the scanned line can be obtained visualizing internal features such as defect and its distance from the scanned surface. With C-scan, plane views of the cross sections parallel to the surface of the specimen is possible [42]. Figure 7 shows the schematic of three SAM scanning modes.
The utilization of the SAM method for failure analysis of the surface and internal microstructure of solid or IC packaging goes back more than two decades [6,7,43]. SAM method can detect flaws such as solder bumps defects, laminar cracks (with sizes less than 50 µm and frequency range of 100–150 MHz) [44], underfill defect such as crack and delamination (test chip with size of 5.6 × 6.4 mm2) [45], bump defects [46], void defects (as small as 125 µm in diameter) [47], and solder bridging (when two solder joints melted together, forming an unintended connection between the two) [48] and delamination at the IC (with approximate size of 100 µm). The frequency of the ultrasonic signals generated for IC package inspection is typically within 15 up to 300 MHz [48]. Figure 8 provides schematic illustration of SAM method for inspection of IC packaging and the actual SAM setup available in TNO Eindhoven (The Netherlands). The frequency of the ultrasonic signals can be increased into GHz range, which makes it possible to detect defects even in the sub-micron-range [49].
The resolution of microscopic image depends on the acoustic frequency, the material properties and aperture of the transducer [51]. Figure 9 shows samples of different defects successfully detected by SAM.
The SAM method is an ideal method to study the thickness of layers and delamination inside material, underfill delamination and voids defects. However, defects located on edges of packaging cannot be detected using SAM, due to so called the edge effect (distortion of the reflections from the edge) [52]. Other limitations of SAM are the requirement for a coupling medium (usually deionized water) to propagate acoustic energy from a transducer to the specimen and lack of resolution in sub µm levels [53]. Although the resolution can be improved at the expense of the decreased penetration depth by driving the transducer at higher frequencies in GHz range. Consequently, there is always a compromise between the resolution and the penetration depth. There is an ongoing research to combine SAM and wave propagation modelling to better understand the trade-off between the resolution and the penetration depth [54,55].

3.3. Surface Acoustic Waves (SAW)

Surface acoustic waves (SAWs) are traveling waves along the surface of a material, the amplitude of which normally decays with depth into the substrate. SAW in general, can be generated and measured by either contact transducers (such as piezo materials) [56,57], contact actuator and noncontact sensor [58,59,60] and fully noncontact systems such as laser-based [53,61] or based on air-coupled transducers [62].
History of utilizing SAW methods for electronic package inspection goes back to 1980s [63]. Most of SAW methods are using noncontact laser excitation and sensing [64]. The main components of the laser-based systems are Nd YAG pulsed laser, control unit, data acquisition system, laser vibrometer (Figure 10). A pulsed laser as an excitation source induces heat (in thermo-elasto regime) in the electronic packages results in generation of ultrasound waves, and a laser Doppler vibrometer measures the transient out-of-plane displacement response. Through the response measurement over the specimen surface, the system identifies defect such as missing solder bump based on different responses [65].
Several post-processing analyses for the SAW inspection system have been reported in the literature to identify the variation of the surface wave responses produced by defect [65]. The post-processing analyses include correlation coefficient analysis [66] in the time domain, spectral analysis [29] in the frequency domain, pattern recognition [67], wavelet analysis [68], and local temporal coherence analysis [69].
Noncontact SAW methods have been used to identify defects such as cracks (normally larger than 15 µm) and voids in microsolder bumps (approx. 200 μm in diameter and spaced with a pitch of 450 μm) [69,70,71,72,73,74,75,76,77], underfill [13] in flip chip [53,64,68,78], PBGA [77] and BGA [79,80,81]. The range of inspected chip sizes is reported within 10 mm in length and width and 0.5 mm in thickness. The sensor head has a spot size of 3 µm, and the vibrometer has a displacement resolution of 0.1 nm and a bandwidth from 25 kHz to 20 MHz.
Representative time signals and power spectrums of an intact flip chip and a flip chip with a missing solder bump are shown in Figure 11. The power spectrum signals are presented in the frequency domain and divided in three regions (i1, i2 and i3). Comparison of Figure 11a,b reveals that the missing solder bump resulted in the missing power spectrum peaks within i1, and i2.
Figure 12 shows the locations of laser excitation and sensing on two different flip chip samples with the corresponding frequency spectrum of measured SAW for solder bump inspection. The waveforms from two healthy chips match very well, one of which is selected as the reference. However, the signals from the chips with open solder bumps differ from the reference one. The more open bumps a chip has, the larger difference is shown between the responses of the faulty chip and [68].
The SAW method is capable of revealing the presence of voids, with an implied lateral spatial resolution about 100 µm. The method is mostly sensitive to voids and cracks. However, SAW method cannot access certain parts of the electronic package such as underfill and bump pitch smaller than 100 µm. Long inspection time is also another limitation of the method.

3.4. Infrared Thermography (IRT)

Infrared thermography (IRT) is one of the most common NDT methods for material evaluation [83,84]. The basic working principle of IRT method is to measure the heat luminance from the surface within the electromagnetic spectrum region corresponding to the infrared (IR) wavelength (2–14 µm) and to record the temperature distribution of the surface (Temperature resolution can range from 0.020 °C to 0.075 °C, depending on the type of IR detector) [85]. IR detectors work in different infrared bands short, middle, and long wave bands; the mainly used are the middle wave (2–5 μm) and the long wave (8–12 μm) [86,87].
Utilization of thermography method for inspection of IC packaging goes back to more than half a century ago [88,89,90,91], and since then it has been one of the most promising NDT methods to inspect electronic packaging [8,9,92]. IRT is used to inspect flip chips [93,94], solder joint defects [94,95], edge defects [33], misalignment of solder bumps [96], presence and location of microsolder bumps (as small as approximately 100 µm in diameter and 250 µm in pitch) [94,97,98], silicon crack [99], underfill void [99], delamination defects with minimum size about 2 µm [100], and subsurface defects (up to 4 mm in depth) [101].
Either active or passive thermography methods are available. For thermal imaging, the passive method relies on natural heat emitted from the structures or structural components in service without any additional external heat source and the infrared detectors senses thermal radiation [102]. On the other hand, the active method exerts an external heat to the parts to be inspected using optical [8], mechanical [84] or electromagnetic [95] sources. The external heat creates thermal waves on the surface of the specimen, and the thermal waves reflect back from a defect or anomaly within the object under inspection [103].
The active thermography method has been utilised for delamination and moisture detection in composites [104], identification of buried mineshaft and canal seepage [105] and high density IC package inspection [9,93]. Pulsed and lock-in are the two major subcategories of the active thermography depending on the stimulation with the heat source. In the pulsed thermography, the sample surface is instantly heated using an optical flash. Over time the surface heat penetrates into the material, and subsurface defect changes heat-flow [94]. In the lock-in thermography, the sample surface is periodically heated by an input energy wave (i.e., thermal emitter, microwave or flash lamp) and thermograms are captured. When the input wave reaches areas within the object where the thermophysical properties are not homogeneous in relation to the surrounding material (i.e., at delaminations or inclusions), the input wave is partially reflected causing an interference pattern in the local surface temperature. The reflected wave oscillates at the same frequency as the thermal wave [106]. The active thermography methods have been adopted extensively to inspect the IC packaging (pulsed [94,95,107,108] and lock-in [92,93,101,109]). A sample setup (both schematic and actual) of the active thermography is shown in Figure 13. After the chip is heated by the heating source (laser, in this case), the missing solder bump in the chips influences the thermal conductivity process and therefore lead to different temperature distribution (T1 and T2 in Figure 13a). The IR camera is utilized to capture the temperature distribution. The infrared energy emitted by the chip and the surroundings is analyzed, post processed and visualized (Computer screen in Figure 13b).
A detailed technical review on the IR methods used for defect detection on electronics boards can be found in Hsieh et al. [86]. This review paper provides recommendations and essential consideration regarding the successful application of IR methods for IC packaging inspection and circuit card maintenance. Figure 14 shows two representative examples where the infrared thermography method is used for detection of missing bumps and failed devices with increased temperature. In Figure 14a, the thermal responses around the missing bumps are slightly lower than those of the surrounding intact bumps. On the other than, the failed device in Figure 14b emits significantly higher level of heating than surroundings.
In terms of 3D packaging, lock-in thermography has proven to be successful for defect detection specifically to locate short failures in stacked dies. Since heat propagation is time dependant lock-in thermography can define the depth and locations of hot spots induced by short failures in 3D packages. Although the infrared thermography method has shown very promising results for NDT applications, there are still challenging issues that need to be addressed: (1) The method can detect defects on the surface or only near the surface of the specimen. This is mainly because the penetration depth of heating is limited to the infrared wavelength. (2) Spatial resolution is limited to the pixel resolution of the infrared camera and the wavelength within the infrared range. To be more specific, because the wavelength of a middle range infrared camera is in the range of 3–5 µm, the best spatial resolution, which can be achieved with a close-up lens, is around 3.75 µm, dictating the smallest size of the detectable defect. Also in sub-micron orders, the temperature difference between the reflected heat waves is too small to differentiate the defect [33]. (3) The recorded results can be affected by surrounding temperature reflections and low contrast of infrared images [82]. (4) Heat propagation in active methods can potentially damage the specimen. Future development of the thermography can include combining analysis and decision making techniques such as pattern recognition or artifical neural network (ANN) to facilitate the assessment also using IR cameras with higher resolution can improve the range of operation for infrared thermography [110].

3.5. Other Methods

Additional methods used for IC packaging inspection include magnetic current imaging (MCI), infrared microscopy (IRM), time domain reflectometry (TDR) and so on. The magnetic current imaging (MCI) method operates based on measurements of the magnetic field associated with a flowing current. The method is able to map out hidden current-carrying wires by measuring the magnetic fields around them. The magnetic field images of the sample is converted into the current density images using Fourier transform inversion [111]. To locate the defect, the current density images are compared with defect–free samples. MCI method has been mostly successful for inspecting short circuit faults within electronic packaging. Although the resolution of micron is achievable, submicron spatial resolution is still a big challenge for the method [112,113].
Infrared Mmicroscopy (IRM) denotes a microscopy achieved at infrared wavelengths of 2 µm to 14 µm. For IC packaging, IRM method has been mostly used to inspect and locate underfill and cracks within the range of µm [100]. Unlike other optical microscopes with absorbent glass optics, an infrared microscope has reflective optics to allow the microscope to cover the entire spectral range of infrared light. For IC inspection applications and specifically for flip chip devices, the advantage of the IRM is that most silicon materials are transparent at wavelengths greater than 1 µm. This fact enables defects such as voids, delamination cracks and corrosion to be investigated while the chip is mounted on the substrate.
The IRM provides device images with a spatial resolution of 2–3 µm. On the other hand, sample preparation is required (back surface of the sample should be polished to get good quality images). Also transparency of the sample under inspection is necessary, and the method is able to inspect samples only within a limited thickness range of up to 10 µm [114].
Figure 15 shows representative images taken by C-SAM, IRM and scanning electron microscope (SEM). C-SAM is not able to detect the crack whereas the IRM and SEM can. Here, a destructive testing with SEM method is performed for validation.
Time domain reflectometry (TDR) inspection method operates by sending an electrical pulse (usually a step or an impulse) and detecting reflections returning from impedance discontinuities along the controlled-impedance transmission path as shown in Figure 16 [115]. A defect alters the local impedance of the material, and the impedance variation produces reflections at the defect boundaries [116,117]. For instance, presence of a crack changes the amplitude of the reflected signal, and this amplitude change can be used as a signature for crack detection. TDR method can access to hard-to-access location, and the method has been used successfully to detect crack in flip chips [117,118]. In 3D packaging inspection, TDR can successfully detect defects in solder interconnects and open failures in general. TDR has been proven successful in SIP packaging with POP configuration. However, the application of TDR is limited only to conductive materials and baseline data is required to assess the presence of defect.

3.6. Ultrafast Optical Laser Ultrasonics

With the current trend regarding package sizes mentioned in Section 2, the sizes of future IC packaging components will be in order of few nanometers. With denser configurations, there will be no direct access to components of the IC packaging. Considering the sizes and limited access to the components of interest, most of the current inspection methods often fall short. Consequently, future NDT methods should be able to offer noncontact inspection, better spatial resolution in submicron or nanometer order, and the potential to be part of in-line inspection systems with reasonable inspection time [119].
Amongst new advanced technologies, optical ultrafast lasers recently showed significant capabilities for NDT of IC packaging [120,121] such as resolution in sub-microns (nm), reasonable inspection time (within the order of couple of minutes) with a potential to be part of in-line inspection system, and ability to detect subsurface defects. The acoustic wavelength of the ultrafast optical lasers makes them an attractive candidate for the resolution gap mentioned in Figure 3.
Ultrafast lasers use pulse lasers with extremely short time intervals (typically <1 ps). Once such an optical pulse, known as pump pulse, hits on the surface of an opaque solid, a portion of the optical energy is absorbed by the specimen and converted to heat. The heat then leads to thermal stress that produces strain wave propagating in certain directions depending on the incident angle of the laser. A second ultrashort pulse called “probe” can detect the reflection of the acoustic pulse back to the surface. Reflectivity/phase change can be measured by adjusting the time delay between the pump and probe pulses in an optical delay line with no need for an ultrafast photodetector. An inhomogeneity (or the interface between different layers of material) beneath the surface, normally at nm to µm depths, can produce the reflection back to the surface as shown in Figure 17 [122].
According to Zhang et al. [123], “when the optical spot size (typically a few microns) is much larger than the optical absorption depth (∼10–50 nm for example), the generated acoustic pulse can be accurately modelled as a superposition of longitudinal plane waves travelling normal to the surface”. Acoustic frequencies are usually in the range of 10–1000 GHz. Because of the short acoustic wavelength (within the nanometer range), ultrafast optical laser ultrasonic is a promising candidate to investigate thin films and nanostructures. Subsurface structures or inhomogeneous regions typically below nm to μm from the surface can reflect the acoustic pulse back to the surface. An example of the ultrafast imaging setup and snap shots of surface displacement imaging are shown in Figure 18. Pump and probe pulses are generated from a Ti:sapphire oscillator. The wavelength, repetition rate and duration of the pulse laser are 800 nm, 79.4 MHz and duration 0.1 ps, respectively. The incident pump laser is focused on one side of the sample, and the probe laser is sent through the interferometer. One half of the beam is focused on the sample and the other half is reflected on the mirror on a piezoactuator (PZT) to stabilize the interferometer. Photodiodes record the reflectivity changes due to surface displacements. Half-wave plate (HWP) and quarter-wave plate (QWP) are optical devices that alter the polarization state of the laser beams.
Picosecond and femtosecond lasers have been adopted successfully for material characterization such as exploring acoustic features on the nanoscale [124,125,126], acoustic imaging in thin films and microstructures on opaque substrates [123,124,127,128,129], material properties measurement of thin film [130], measurement of switching activity (changes of signal values) [131], and non-destructive evaluation of micrometric diamond films [120]. The duration of the laser pulse can be tuned to produce acoustic wavelength as short as 5 nm and provide volumetric information about the structure they travel in [123,124]. Ultrafast optical laser ultrasonics can generate and detect gigahertz–terahertz ultrasonic waves by using ultrashort light pulses and very high repetition rates for the excitation pulse. This method can be adopted to material characterizations, non-destructive evaluation and etc. that require nanometer spatial resolution.
Real-time imaging/signal processing can shorten the inspection time of the IC packaging under evaluation. In case of optical pump-probe lasers, real-time imaging of the ultrasonic waves generated by optical ultrafast lasers have been reported in the literature (Figure 19) and the current time of producing image for ultrasonic waves including, data acquisition, and analysis can be vary from 4 min up to 22 min depending on number of pixels in the image [127,132]. Summary of the NDT methods for IC packaging are detailed and presented in Table 1 for comparison purposes.

4. Discussion and Recommendations

The crucial requirements for an in-line inspection system can be listed as proper data acquisition, accurate data processing, suitable user interface and flexibility of the operation. To improve the current in-line systems, solutions such as utilizing high speed detectors, intensity control (for X-ray to control the image quality as an instance) and real-time image processing allows quick and accurate defect detection. In order to inspect hard-to-access areas, some advanced techniques like phased array can be used to improve the limited accessibility of the current NDT methods. Utilization of phased arrays techniques has a long history for NDT applications back in 1980s [133], and the technique has been adopted numerously since then [134]. In one of the most recent research studies, Tian et al. [135] presented a noncontact generic phased array beamforming technique for rapid defect inspection of anisotropic composite laminates. The technique provides an efficient solution that quickly identifies and locates defects even if there are located in hard to access areas. Utilization of phased array beamforming technique for the case of IC packaging inspection can be very helpful due to the following reasons: (1) Typically ultrasonic waves generated by noncontact lasers are very weak, but using a phased array and the concept of time reversal, ultrasonic waves can be focused at a specific point of interest even when the target inspection point is located in hard-to-access areas without moving the specimen, (2) Using a multi-channel phased array rather than a single channel probe, the imaging process time can be significantly reduced to improve signal-to-noise-ratio and, (3) volumetric inspections is possible due to the ability to focus at multiple depths.
Advanced signal processing techniques is essential to extract features, which are not easily discernable from the measurements, and the signal processing techniques drastically enhance the capabilities of the NDT methods. The signal processing techniques should be robust to noise and able to remove unwanted noises from the measured data. A number of advanced signal processing techniques such as frequency-wavenumber (f-k) filtering [136,137,138,139,140], have been developed to evaluate the response spectrum and effectively extract standing wave components to assess the presence of defect (Figure 20).
For feature extractions, Bayesian Networks (BN) has been utilized successfully to solve a diverse group of classification problems with regards to NDT applications [67]. ANN also provides powerful pattern classification and recognition capabilities and have been widely adopted for IC packaging inspection methods [52]. Deeper insight into more advanced signal processing for inspection and non-destructive applications in different stages such as data acquisition, feature extraction and diagnosis and prognosis can be found in Yn et al. [142].
In current microelectronic packaging solutions, AOI and AXI are very common to inspect both the interior and exterior microelectronic packaging, but the accuracy, resolution and speed of the methods are still under development. To address the aforementioned challenges regarding 3D microelectronic packages in Section 2, the best probable solution is most likely to combine methods such as IRT and 3D X-ray linked with proper modelling to overcome the shortcomings of each method. Future directions of NDT methods may include: (1) development of hybrid methods for defect detection such as combining promising methods like lock-in thermography and 3D CT or optical and infrared imaging, (2) more improvements and development in hybrid analysis techniques such as ANN and genetic algorithm.

5. Conclusions

In this paper, a review on the past and current non-destructive testing methods for IC package inspection is provided with the merits and limitations of each method. In the review, some of the most representative research studies and significant achievements have been addressed and commented upon. Furthermore, advanced candidate technologies for future IC package inspection and recommendations for improvement of the NDT methods have been recognized and discussed. The following summary observations are offered, together with some challenging issues for future development:
  • For all the inspection methods with sophisticated signal processing and interpretation software, reducing the processing time of assessment and interpretation of signals and images are still challenging. These issues should be addressed for the development of an effective in-line inspection system.
  • Noncontact methods seem to be more attractive due to the potential for in-line inspection, and less preparation time for inspection.
  • The best probable solution to overcome the current challenges is to utilize hybrid methods linked to proper modelling.
  • As the dimensions of the IC packaging (voxel size) continue to decrease, the micron range spatial resolution of the current NDT methods should be improved to submicron and nanometer ranges.
  • To improve the current NDT methods, the followings can be considered; combination of numerical modeling with the NDT method such as X-ray and SAM, utilization of advanced signal processing (such as f-k filtering) and a phased array technique to access hard-to access areas.
  • The ultrafast optical lasers offer the following unique characteristics for the inspection of IC packaging such as; the nanometer resolution, tunable wavelength of the ultrasonic waves depending on the target defect sizes, reasonable inspection time (currently it is in the order of couple of minutes) and being noncontact. While further investigation is warranted to discover more about the challenges, it is an objective of the present review to promote consideration and application of ultrafast optical lasers in the field.

Author Contributions

P.A. participated in writing, paper revision and research structure, S.S. participated in providing research materials and writing. H.S. supervised the research and participated in the paper revision and research structure.

Funding

This work was supported by the National Research Foundation of Korea (NRF) Grant funded by the Korean Government (MSIP) (No. 2017R1A5A1014883).

Acknowledgments

The authors acknowledge financial support of KAIST GCOR (Global Center for Open Research with Enterprise).

Conflicts of Interest

The authors declare no conflict of interest with respect to the research, authorship and publication of this article.

References

  1. Gattiker, A.; Nigh, P.; Aitken, R. An overview of integrated circuit testing methods. In Microelectronics Failure Analysis Desk Reference; ASM International: Materials Park, OH, USA, 2011. [Google Scholar]
  2. Wolter, K.-J.; Oppermann, M.; Heuer, H.; Köhler, B.; Schubert, F.; Netzelmann, U.; Krüger, P.; Zhan, Q.; Meyendorf, N. Micro-and Nano-NDE for Micro-Electronics (back end). In Proceedings of the IV Pan American Conference of NDE (IV Conferencia Panamericana de END), Buenos Aires, Argentina, 22–26 October 2007. [Google Scholar]
  3. Committee, E.D.R. Microelectronics Failure Analysis: Desk Reference; Asm International: Materials Park, OH, USA, 2011. [Google Scholar]
  4. Rooks, S.; Benhabib, B.; Smith, K. Development of an inspection process for ball-grid-array technology using scanned-beam X-ray laminography. IEEE Trans. Compon. Packag. Manuf. Technol. Part A 1995, 18, 851–861. [Google Scholar] [CrossRef]
  5. Roh, Y.J.; Ko, K.W.; Cho, H.; Kim, H.C.; Joo, H.; Kim, S.K. Inspection of ball grid array (BGA) solder joints using X-ray cross-sectional images. Proc. SPIE 1999, 3836. [Google Scholar] [CrossRef]
  6. Semmens, J.E.; Kessler, L.W. In Further investigation into the use of acoustic micro imaging for analyzing flip chip integrity and failure modes. In Proceedings of the International Conference on Multichip Modules, Denver, CO, USA, 2–4 April 1997; pp. 165–169. [Google Scholar]
  7. Semmens, J.E.; Kessler, L.W. Characterization of flip chip interconnect failure modes using high frequency acoustic micro imaging with correlative analysis. In Proceedings of the IEEE International Reliability Physics Symposium Proceedings, Denver, CO, USA, 8–10 April 1997; pp. 141–148. [Google Scholar]
  8. Breitenstein, O.; Rakotoniaina, J.; Altmann, F.; Schulz, J.; Linse, G. Fault Localization and Functional Testing of Ics by Lock-in Thermography. Available online: http://www-old.mpi-halle.mpg.de/mpi/publi/pdf/1962_02.pdf (accessed on 17 June 2018).
  9. Chai, T.; Wongi, B.; Bai, W.; Trigg, A.; Lain, Y. In A novel defect detection technique using active transient thermography for high density package and interconnections. In Proceedings of the Electronic Components and Technology Conference, New Orleans, LA, USA, 27–30 May 2003; pp. 920–925. [Google Scholar]
  10. Liu, S.; Ume, I.C. Vibration analysis based modeling and defect recognition for flip-chip solder-joint inspection. J. Electron. Packag. 2002, 124, 221–226. [Google Scholar] [CrossRef]
  11. Su, L.; Shi, T.; Liu, Z.; Zhou, H.; Du, L.; Liao, G. Nondestructive diagnosis of flip chips based on vibration analysis using pca-rbf. Mech. Syst. Signal Process. 2017, 85, 849–856. [Google Scholar] [CrossRef]
  12. Liu, S.; Erdahl, D.; Ume, C.; Achari, A. A novel method and device for solder joint quality inspection by using laser ultrasound. In Proceedings of the Electronic Components and Technology Conference, Las Vegas, NV, USA, 21–24 May 2000; pp. 408–415. [Google Scholar]
  13. Pepper, D.; Dunning, G.; Chiao, M.; O’Meara, T.; Mitchell, P. Inspection of flip-chip epoxy underfill in microelectronic assemblies using compensated laser-based ultrasonic receivers. In Review of Progress in Quantitative Nondestructive Evaluation; Springer: Boston, MA, USA, 1998; pp. 1943–1950. [Google Scholar]
  14. Dummer, G.W.A. Electronic Inventions and Discoveries: Electronics from Its Earliest Beginnings to the Present Day; Elsevier: Amsterdam, The Netherlands, 2013. [Google Scholar]
  15. Cohn, C.; Harper, C. Failure-Free Integrated Circuit Packages; McGraw-Hill, Inc.: New York, NY, USA, 2004. [Google Scholar]
  16. Greig, W. Integrated Circuit Packaging, Assembly and Interconnections; Springer: Berlin, Germany, 2007. [Google Scholar]
  17. Garakani, A.M.; Koljonen, J. Automated Optical Inspection Apparatus. U.S. Patent 5,532,739, 17 June 1997. [Google Scholar]
  18. Sassov, A.; Luypaert, F.; Warwick, T.; Meyer-Ilse, W.; Attwood, D. X-ray digital microlaminography for bga and flip-chip inspection. In Proceedings of the International Conference on X-Ray Microscopy, Berkeley, CA, USA, 2–6 August 1999; pp. 239–244. [Google Scholar]
  19. Mehr, M.Y.; Bahrami, A.; Fischer, H.; Gielen, S.; Corbeij, R.; Driel, W.D.V.; Zhang, G.Q. An overview of scanning acoustic microscope, a reliable method for non-destructive failure analysis of microelectronic components. In Proceedings of the International Conference on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems, Budapest, Hungary, 19–22 April 2015; pp. 1–4. [Google Scholar]
  20. Genovese, A.; Fontana, F.; Cesana, M.; Miliani, S.; Pirovano, E. Solder extrusions and underfill delaminations: A remarkable flip chip qualification experience. Int. J. Microcircuits Electron. Packag. 2000, 24, 53–60. [Google Scholar]
  21. Su, L.; Shi, T.; Xu, Z.; Lu, X.; Liao, G. Defect inspection of flip chip solder bumps using an ultrasonic transducer. Sensors 2013, 13, 16281–16291. [Google Scholar] [CrossRef]
  22. Brand, S.; Czurratis, P.; Hoffrogge, P.; Petzold, M. Automated inspection and classification of flip-chip-contacts using scanning acoustic microscopy. Microelectron. Reliab. 2010, 50, 1469–1473. [Google Scholar] [CrossRef]
  23. Li, Y.; Goyal, D. 3D Microelectronic Packaging: From Fundamentals to Applications; Springer: Berlin, Germany, 2017; Volume 57. [Google Scholar]
  24. Lu, J.-Q. 3d hyperintegration and packaging technologies for micro-nano systems. Proc. IEEE 2009, 97, 18–30. [Google Scholar] [CrossRef]
  25. Li, Y.; Srinath, P.K.M.; Goyal, D. A review of failure analysis methods for advanced 3D microelectronic packages. J. Electron. Mater. 2016, 45, 116–124. [Google Scholar] [CrossRef]
  26. Tu, K. Reliability challenges in 3D ic packaging technology. Microelectron. Reliab. 2011, 51, 517–523. [Google Scholar] [CrossRef]
  27. Bogatin, E. 2015 IPC International Technology Roadmap for Electronic Interconnections. Available online: http://www.ipc.org/ContentPage.aspx?pageid=IPC-International-Technology-Roadmap-for-Electronic-Interconnections-2015 (accessed on 18 June 2018).
  28. Ghaffarian, R. Microelectronics packaging technology roadmaps, assembly reliability, and prognostics. Facta Univ.-Ser. Electron. Energ. 2016, 29, 543–611. [Google Scholar] [CrossRef]
  29. Liu, S.; Ume, I.C. Digital signal processing in a novel flip chip solder joint defects inspection system. Trans.-Am. Soc. Mech. Eng. J. Electron. Packag. 2003, 125, 39–43. [Google Scholar] [CrossRef]
  30. Liu, S.; Ume, I.C.; Achari, A. Defects pattern recognition for flip-chip solder joint quality inspection with laser ultrasound and interferometer. IEEE Trans. Electron. Packag. Manuf. 2004, 27, 59–66. [Google Scholar]
  31. Sankaran, V.; Kalukin, A.R.; Kraft, R.P. Improvements to X-ray laminography for automated inspection of solder joints. IEEE Trans. Compon. Packag. Manuf. Technol. Part C 1998, 21, 148–154. [Google Scholar] [CrossRef]
  32. Maur, F.W. Detecting and analyzing wafer bump voids with X-ray inspection. In Proceedings of the International Conference on Electronic Packaging Technology, Shenzhen, China, 30 August–2 September 2005; pp. 1–3. [Google Scholar]
  33. Xu, Z.; Shi, T.; Lu, X.; Liao, G. Using active thermography for defects inspection of flip chip. Microelectron. Reliab. 2014, 54, 808–815. [Google Scholar] [CrossRef]
  34. Roth, H.; He, Z.; Mayer, T. Inspection of through silicon vias (TSV) and other interconnections in IC packages by computed tomography. In Proceedings of the Electronics Packaging Technology Conference, Berlin, Germany, 13–16 September 2010; pp. 438–441. [Google Scholar]
  35. Chiu, S.; Chen, C. Investigation of void nucleation and propagation during electromigration of flip-chip solder joints using X-ray microscopy. Appl. Phys. Lett. 2006, 89, 262106. [Google Scholar] [CrossRef]
  36. Lall, P.; Deshpande, S.; Wei, J.; Suhling, J. Non-destructive crack and defect detection in sac solder interconnects using cross-sectioning and X-ray micro-ct. In Proceedings of the Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 27–30 May 2014; pp. 1449–1456. [Google Scholar]
  37. Tian, T.; Chen, K.; MacDowell, A.; Parkinson, D.; Lai, Y.S.; Tu, K. Quantitative X-ray microtomography study of 3D void growth induced by electromigration in eutectic snpb flip-chip solder joints. Scr. Mater. 2011, 65, 646–649. [Google Scholar] [CrossRef]
  38. Li, Y.; Panat, R.; Li, B.; Mulligan, R.; Srinath, P.K.M.; Raman, A. The application of two-dimensional X-ray hot stage in flip chip package failure analysis. IEEE Trans. Device Mater. Reliab. 2011, 11, 141–147. [Google Scholar] [CrossRef]
  39. Teramoto, A.; Murakoshi, T.; Tsuzaka, M.; Fujita, H. Automated solder inspection technique for bga-mounted substrates by means of oblique computed tomography. IEEE Trans. Electron. Packag. Manuf. 2007, 30, 285–292. [Google Scholar] [CrossRef]
  40. Khuri-Yakub, B. Scanning acoustic microscopy. Ultrasonics 1993, 31, 361–372. [Google Scholar] [CrossRef]
  41. Lemons, R.; Quate, C. Acoustic microscope—Scanning version. Appl. Phys. Lett. 1974, 24, 163–165. [Google Scholar] [CrossRef]
  42. Zhang, Y.; Guo, N.; Du, H.; Li, W. Automated defect recognition of c-sam images in ic packaging using support vector machines. Int. J. Adv. Manuf. Technol. 2005, 25, 1191–1196. [Google Scholar] [CrossRef]
  43. Lawton, W.; Barrett, J. Characterisation of chip-on-board and flip chip packaging technologies by acoustic microscopy. Microelectron. Reliab. 1996, 36, 1803–1806. [Google Scholar] [CrossRef]
  44. Jiun, H.H.; Shu, Z.H.; Ming, X. Characterization of flip chip bump failure mode by using high frequency 230 mhz mp and cp4 transducer. In Proceedings of the Electronics Packaging Technology Conference, Singapore, 9–12 December 2008; pp. 601–607. [Google Scholar]
  45. Zhaonian, C.; Liu, C.; Guozhong, W.; Xiaoming, X.; Qun, Z. The effects of underfill and its material models on thermomechanical behaviors of flip chip package. In Proceedings of the International Symposium on Electronic Materials and Packaging (EMAP2000), Hong Kong, China, 30 November–2 December 2000; pp. 232–239. [Google Scholar]
  46. Feger, C.; LaBianca, N.; Gaynes, M.; Steen, S.; Liu, Z.; Peddi, R.; Francis, M. The over-bump applied resin wafer-level underfill process: Process, Material and Reliability. In Proceedings of the Electronic Components and Technology Conference, San Diego, CA, USA, 26–29 May 2009; pp. 1502–1505. [Google Scholar]
  47. Yunus, M.; Srihari, K.; Pitarresi, J.M.; Primavera, A. Effect of voids on the reliability of bga/csp solder joints. Microelectron. Reliab. 2003, 43, 2077–2086. [Google Scholar] [CrossRef]
  48. Ross, R.J.; ebrary Inc. Microelectronics Failure Analysis Desk Reference, 6th ed.; ASM International: Materials Park, OH, USA, 2011; p. 11. 660p. [Google Scholar]
  49. Fassbender, S.U.; Kraemer, K. Acoustic microscopy: A powerful tool to inspect microstructures of electronic devices. In Proceedings of the NDE for Health Monitoring and Diagnostics, San Diego, CA, USA, 15–17 July 2003; pp. 112–122. [Google Scholar]
  50. Fan, M.; Wei, L.; He, Z.; Wei, W.; Lu, X. Defect inspection of solder bumps using the scanning acoustic microscopy and fuzzy svm algorithm. Microelectron. Reliab. 2016, 65, 192–197. [Google Scholar] [CrossRef]
  51. Tismer, S.; Brand, S.; Klengel, S.; Petzold, M.; Czurratis, P. Acoustic imaging of bump defects in flip-chip devices using split spectrum analysis. In Proceedings of the IEEE International Ultrasonics Symposium (IUS), Prague, Czech Republic, 21–25 July 2013; pp. 950–953. [Google Scholar]
  52. Su, L.; Zha, Z.; Lu, X.; Shi, T.; Liao, G. Using bp network for ultrasonic inspection of flip chip solder joints. Mech. Syst. Signal Process. 2013, 34, 183–190. [Google Scholar] [CrossRef]
  53. Yang, J.; Ume, I.C. Laser ultrasonic technique for evaluating solder bump defects in flip chip packages using modal and signal analysis methods. IEEE Trans. Ultrason. Ferroelectr. Freq. Control 2010, 57, 920–932. [Google Scholar]
  54. Lee, C.S.; Zhang, G.-M.; Harvey, D.M.; Qi, A. Characterization of micro-crack propagation through analysis of edge effect in acoustic microimaging of microelectronic packages. NDT E Int. 2016, 79, 1–6. [Google Scholar] [CrossRef] [Green Version]
  55. Zhang, Y.; Shi, T.; Su, L.; Wang, X.; Hong, Y.; Chen, K.; Liao, G. Sparse reconstruction for micro defect detection in acoustic micro imaging. Sensors 2016, 16, 1773. [Google Scholar] [CrossRef] [PubMed]
  56. Olson, S.E.; DeSimio, M.P.; Davies, M.J.; Swenson, E.D.; Sohn, H. Computational lamb wave model validation using 1D and 3D laser vibrometer measurements. In Proceedings of the SPIE Smart Structures and Materials + Nondestructive Evaluation and Health Monitoring, San Diego, CA, USA, 8 April 2010; pp. 1–12. [Google Scholar]
  57. Yeum, C.M.; Sohn, H.; Lim, H.J.; Ihn, J.B. Reference-free delamination detection using lamb waves. Struct. Control Health Monit. 2014, 21, 675–684. [Google Scholar] [CrossRef]
  58. Aryan, P.; Kotousov, A.; Ng, C.; Wildy, S. Reconstruction of baseline time-trace under changing environmental and operational conditions. Smart Mater. Struct. 2016, 25, 035018. [Google Scholar] [CrossRef] [Green Version]
  59. Aryan, P.; Kotousov, A.; Ng, C.; Cazzolato, B. A baseline-free and non-contact method for detection and imaging of structural damage using 3D laser vibrometry. Struct. Control Health Monit. 2017, 24. [Google Scholar] [CrossRef]
  60. Aryan, P.; Kotousov, A.; Ng, C.T.; Cazzolato, B. A model-based method for damage detection with guided waves. Struct. Control Health Monit. 2017, 24. [Google Scholar] [CrossRef]
  61. Ruppel, C.C.; Fjeldly, T.A. Advances in Surface Acoustic Wave Technology, Systems and Applications; World Scientific: Singapore, 2001; Volume 2. [Google Scholar]
  62. Yonathan Sunarsa, T.; Aryan, P.; Jeon, I.; Park, B.; Liu, P.; Sohn, H. A reference-free and non-contact method for detecting and imaging damage in adhesive-bonded structures using air-coupled ultrasonic transducers. Materials 2017, 10, 1402. [Google Scholar] [CrossRef] [PubMed]
  63. Lau, J.H.; Keely, C.A. Dynamic characterization of surface mount component leads for solder joint inspection. IEEE Trans. Compon. Hybrid Manuf. Technol. 1989, 12, 603–615. [Google Scholar] [CrossRef]
  64. Howard, T.; Erdahl, D.; Ume, I.C.; Gamalski, J.; Achari, A. Development of an advanced system for inspection of flip chip and chip scale package interconnects using laser ultrasound and interferometric techniques. Soc. Photo-Optic. Instrum. Eng. 2002, 136–142. [Google Scholar]
  65. Ume, C.; Gong, J.; Ahmad, R.; Valdes, A. Laser ultrasonic inspection of solder bumps in flip-chip packages using virtual chip package as reference. IEEE Trans. Compon. Packag. Manuf. Technol. 2011, 1, 1739–1746. [Google Scholar] [CrossRef]
  66. Sheng, L.; Erdahl, D.; Ume, I.C.; Achari, A.; Gamalski, J. A novel approach for flip chip solder joint quality inspection: Laser ultrasound and interferometric system. IEEE Trans. Compon. Packag. Manuf. Technol. 2001, 24, 616–624. [Google Scholar] [CrossRef]
  67. Liu, S.; Ume, I.C. Defects pattern recognition for flip-chip solder joint quality inspection with laser ultrasound and interferometer. In Proceedings of the 52nd Electronic Components and Technology Conference (Cat. No. 02CH37345), San Diego, CA, USA, 28–31 May 2002; pp. 59–66. [Google Scholar]
  68. Yang, J.; Ume, I.C.; Zhang, L. Defect detection of flip chip solder bumps with wavelet analysis of laser ultrasound signals. IEEE Trans. Adv. Packag. 2010, 33, 19–29. [Google Scholar] [CrossRef]
  69. Yang, J.; Ume, I.C. Detection of solder bump defects in electronic packages using local temporal coherence analysis of laser ultrasonic signals. J. Electron. Packag. 2009, 131, 011013. [Google Scholar] [CrossRef]
  70. Lau, J.H.; Chang, C.; Lee, S.-W. Failure analysis of solder bumped flip chip on low-cost substrates. IEEE Trans. Compon. Packag. Manuf. Technol. 2000, 23, 19–27. [Google Scholar] [CrossRef]
  71. Yang, J.; Zhang, L.; Ume, I.C. Defect detection of flip chip solder bump with wavelet analysis of laser ultrasound signals. In Proceedings of the 56th Electronic Components and Technology Conference, San Diego, CA, USA, 30 May–2 June 2006; p. 7. [Google Scholar]
  72. Yang, J.; Ume, C. Defect detection of flip chip solder bumps through local temporal coherence analysis of laser ultrasound signals. In Proceedings of the ASME International Mechanical Engineering Congress and Exposition, American Society of Mechanical Engineers, Seattle, WA, USA, 11–15 November 2007; pp. 375–384. [Google Scholar]
  73. Yang, J. Quality Inspection and Reliability Study of Solder Bumps in Packaged Electronic Devices: Using Laser Ultrasound and Finite Element Methods. Ph.D. Thesis, Georgia Institute of Technology, Atlanta, GA, USA, 2008. [Google Scholar]
  74. Yang, J.; Ume, I.C. Thermomechanical reliability study of flip-chip solder bumps: Using laser ultrasound technique and finite element method. In Proceedings of the Electronic Components and Technology Conference, Lake Buena Vista, FL, USA, 27–30 May 2008; pp. 611–622. [Google Scholar]
  75. Erdahl, D.S.; Ume, I.C. Determination of measurement limit for open solder bumps on a flip-chip package using a laser ultrasonic inspection system. IEEE Trans. Adv. Packag. 2006, 29, 178–185. [Google Scholar] [CrossRef]
  76. Wei, W.; Wei, L.; Nie, L.; Su, L.; Lu, X. Using active thermography and modified svm for intelligent diagnosis of solder bumps. Infrared Phys. Technol. 2015, 72, 163–169. [Google Scholar] [CrossRef]
  77. Gong, J.; Ume, I.C.; Akinade, K.; Chaudhuri, A.R. Quality evaluation of solder bump in pbga package for commercial product application using laser ultrasonic technique. In Proceedings of the ASME International Mechanical Engineering Congress and Exposition, San Diego, CA, USA, 15–21 November 2013; pp. 1–6. [Google Scholar]
  78. Zhang, L.; Ume, I.C.; Gamalski, J.; Galuschki, K.-P. Study of flip chip solder joint cracks under temperature cycling using a laser ultrasound inspection system. IEEE Trans. Compon. Packag. Technol. 2009, 32, 120–126. [Google Scholar] [CrossRef]
  79. Gong, J.; Ume, I.C. Detection of poor wetting of lead-free solder bumps in ball grid array packages using laser ultrasound technique. In Proceedings of the ASME Pacific Rim Technical Conference and Exhibition on Packaging and Integration of Electronic and Photonic Systems, Portland, OR, USA, 6–8 July 2011; pp. 95–103. [Google Scholar]
  80. Gong, J.; Ume, I.C. Nondestructive evaluation of poor-wetted lead-free solder bumps in ball grid array packages using laser ultrasound and interferometric technique. IEEE Trans. Compon. Packag. Manuf. Technol. 2013, 3, 1301–1309. [Google Scholar] [CrossRef]
  81. Gong, J. Quality Assessments of Solder Bump Interconnections in Ball Grid Array Packages Using Laser Ultrasonics and Laser Interferometer. Ph.D. Thesis, Georgia Institute of Technology, Atlanta, GA, USA, 2016. [Google Scholar]
  82. Su, L.; Shi, T.; Du, L.; Lu, X.; Liao, G. Genetic algorithms for defect detection of flip chips. Microelectron. Reliab. 2015, 55, 213–220. [Google Scholar] [CrossRef]
  83. Omar, M.A.; Zhou, Y. A quantitative review of three flash thermography processing routines. Infrared Phys. Technol. 2008, 51, 300–306. [Google Scholar] [CrossRef]
  84. Rantala, J.; Wu, D.; Salerno, A.; Busse, G. Lock-in thermography with mechanical loss angle heating at ultrasonic frequencies. In Proceedings of the International Conference on Quantitative Infrared Thermography (QIRT96), Stuttgart, Germany, 2–5 September 1996; pp. 2–5. [Google Scholar]
  85. Brosse, A.; Naisson, P.; Hamdi, H.; Bergheau, J. Temperature measurement and heat flux characterization in grinding using thermography. J. Mater. Process. Technol. 2008, 201, 590–595. [Google Scholar] [CrossRef]
  86. Hsieh, S.J. Survey of thermography in electronics inspection. In Proceedings of the SPIE Sensing Technology + Applications, Baltimore, MD, USA, 21 May 2014; pp. 1–12. [Google Scholar]
  87. Meola, C.; Boccardi, S.; Carlomagno, G.M. Infrared Thermography in the Evaluation of Aerospace Composite Materials: Infrared Thermography to Composites; Woodhead Publishing: Cambridge, UK, 2016. [Google Scholar]
  88. Buller, M. Thermal transients in electronic packages. IEEE Trans. Compon. Hybr. Manuf. Technol. 1980, 3, 588–594. [Google Scholar] [CrossRef]
  89. Lee, D.-H. Thermal analysis of integrated-circuit chips using thermographic imaging techniques. IEEE Trans. Instrum. Meas. 1994, 43, 824–829. [Google Scholar]
  90. Kallis, J.; Egan, G.; Wirick, M. Nondestructive infrared inspection of hybrid microcircuit substrate-to-package thermal adhesive bonds. IEEE Trans. Compon. Hybr. Manuf. Technol. 1981, 4, 257–260. [Google Scholar] [CrossRef]
  91. Vavilov, V. Thermal non-destructive testing: Short history, state-of-the-art and trends. In Proceedings of the European Conference and Exhibition on Non-Destructive Testing, Tomsk, Russia, 1 August 2010; p. 6. [Google Scholar]
  92. Schmidt, C.; Grosse, C.; Altmann, F. Localization of electrical defects in system in package devices using lock-in thermography. In Proceedings of the 3rd Electronic System-Integration Technology Conference (ESTC), Berlin, Germany, 13–16 September 2010; pp. 1–5. [Google Scholar]
  93. Cao, L.; Venkata, M.; Huynh, J.; Tan, J.; Tay, M.-Y.; Qiu, W.; Wadhwa, K.; Schlangen, R. Lock-in thermography for flip-chip package failure analysis. In Proceedings of the ISTFA 2012 38th International Symposium for Testing and Failure Analysis, Phoenix, AZ, USA, 11–15 November 2012; p. 316. [Google Scholar]
  94. Lu, X.; Liao, G.; Zha, Z.; Xia, Q.; Shi, T. A novel approach for flip chip solder joint inspection based on pulsed phase thermography. NDT E Int. 2011, 44, 484–489. [Google Scholar] [CrossRef]
  95. Zhou, X.; Xue, Y.; Tian, G.; Liu, Z. Thermal analysis of solder joint based on eddy current pulsed thermography. IEEE Trans. Compon. Packag. Manuf. Technol. 2017, 7, 1111–1118. [Google Scholar] [CrossRef]
  96. Lu, X.; Shi, T.; Han, J.; Liao, G.; Su, L.; Wang, S. Defects inspection of the solder bumps using self reference technology in active thermography. Infrared Phys. Technol. 2014, 63, 97–102. [Google Scholar] [CrossRef]
  97. Lu, X.; Shi, T.; Xia, Q.; Liao, G. Thermal conduction analysis and characterization of solder bumps in flip chip package. Appl. Therm. Eng. 2012, 36, 181–187. [Google Scholar] [CrossRef]
  98. He, Z.; Wei, L.; Shao, M.; Lu, X. Detection of micro solder balls using active thermography and probabilistic neural network. Infrared Phys. Technol. 2017, 81, 236–241. [Google Scholar] [CrossRef]
  99. Tsai, M.-Y.; Tang, C.-Y.; Yen, C.-Y.; Chang, L.-B. Bump and underfill effects on thermal behaviors of flip-chip led packages: Measurement and modeling. IEEE Trans. Device Mater. Reliab. 2014, 14, 161–168. [Google Scholar] [CrossRef]
  100. Lu, J.; Trigg, A.; Wu, J.; Chai, T. Detecting underfill delamination and cracks in flip chip on board assemblies using infrared microscope. Int. J. Microcircuits Electron. Packag. 1998, 21, 231–236. [Google Scholar]
  101. Choi, M.; Kang, K.; Park, J.; Kim, W.; Kim, K. Quantitative determination of a subsurface defect of reference specimen by lock-in infrared thermography. Ndt E Int. 2008, 41, 119–124. [Google Scholar] [CrossRef]
  102. Ibarra-Castanedo, C.; Susa, M.; Klein, M.; Grenier, M.; Piau, J.-M.; Larby, W.B.; Bendada, A.; Maldague, X. Infrared thermography: Principle and applications to aircraft materials. Int. Symp. NDT Aerosp. 2008, 114, 348. [Google Scholar]
  103. Ibarra-Castanedo, C.; Genest, M.; Piau, J.-M.; Guibert, S.; Bendada, A.; Maldague, X.P. Active infrared thermography techniques for the nondestructive testing of materials. Ultrason. Adv. Methods Nondestruct. Test. Mater. Charact. 2007, 325–348. [Google Scholar]
  104. Wiggenhauser, H. Active ir-applications in civil engineering. Infrared Phys. Technol. 2002, 43, 233–238. [Google Scholar] [CrossRef]
  105. Clark, M.; McCann, D.; Forde, M. Application of infrared thermography to the non-destructive testing of concrete and masonry bridges. Ndt E Int. 2003, 36, 265–275. [Google Scholar] [CrossRef]
  106. Chatterjee, K.; Tuli, S.; Pickering, S.G.; Almond, D.P. A comparison of the pulsed, lock-in and frequency modulated thermography nondestructive evaluation techniques. NDT E Int. 2011, 44, 655–667. [Google Scholar] [CrossRef] [Green Version]
  107. Zhou, X.; Zhou, J.; Tian, G.; Wang, Y. Research on defects inspection of solder balls based on eddy current pulsed thermography. Sensors 2015, 15, 25882–25897. [Google Scholar] [CrossRef] [PubMed]
  108. Hung, M.Y.; Chen, Y.S.; Ng, S.P.; Shepard, S.M.; Hou, Y.; Lhota, J.R. Review and comparison of shearography and pulsed thermography for adhesive bond evaluation. Opt. Eng. 2007, 46, 051007–051016. [Google Scholar] [CrossRef]
  109. Tay, M.; Tan, M.; Qiu, W.; Zhao, X. Lock-in thermography application in flip-chip packaging for short defect localization. In Proceedings of the Electronics Packaging Technology Conference (EPTC), Singapore, 7–9 December 2011; pp. 642–646. [Google Scholar]
  110. Meola, C. Infrared Thermography Recent Advances and Future Trends; Bentham Science Publishers: Karachi, Pakistan, 2012. [Google Scholar]
  111. Gaudestad, J.; Gagliolo, N.; Talanov, V.; Yeh, R.; Ma, C. High resolution magnetic current imaging for die level short localization. In Proceedings of the International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA), Suzhou, China, 15–19 July 2013; pp. 347–350. [Google Scholar]
  112. Infante, F.; Perdu, P.; Lewis, D. Magnetic microscopy for 3d devices: Defect localization with high resolution and long working distance on complex system in package. Microelectron. Reliab. 2009, 49, 1169–1174. [Google Scholar] [CrossRef]
  113. Infante, F.; Perdu, P.; Petremont, S.; Lewis, D. A new methodology for short circuit localization on integrated circuits using magnetic microscopy technique coupled with simulations. In Proceedings of the IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits, Suzhou, China, 6–10 July 2009; pp. 208–212. [Google Scholar]
  114. Trigg, A. Applications of infrared microscopy to ic and mems packaging. IEEE Trans. Electron. Packag. Manuf. 2003, 26, 232–238. [Google Scholar] [CrossRef]
  115. Obaid, A.A.; Yarlagadda, S.; Yoon, M.; Hager III, N.; Domszy, R. A time-domain reflectometry method for automated measurement of crack propagation in composites during mode i dcb testing. J. Compos. Mater. 2006, 40, 2047–2066. [Google Scholar] [CrossRef]
  116. Hoekstra, P.; Delaney, A. Dielectric properties of soils at uhf and microwave frequencies. J. Geophys. Res. 1974, 79, 1699–1708. [Google Scholar] [CrossRef]
  117. Cao, L.; Chong, H.; Chin, J.; Master, R. Non-destructive analysis on flip chip package with TDR (time domain reflectometry) and squid (superconducting quantum interference device). In Proceedings of the IEEE Electronics Packaging Technology Conference, Singapore, 10–12 December 2002; pp. 50–55. [Google Scholar]
  118. Cao, L.; Tran, L.; Prabhu, A.; Tay, M. Flip-chip package soft failure analysis and case studies using time domain reflectometry. In Proceedings of the IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA), Singapore, 5–9 July 2010; pp. 1–4. [Google Scholar]
  119. Bridges, G. Non-contact probing of integrated circuits and packages. In Proceedings of the IEEE MTT-S International Microwave Symposium Digest, Fort Worth, TX, USA, 6–11 June 2004; pp. 1805–1808. [Google Scholar]
  120. Rossignol, C.; Perrin, B.; Laborde, S.; Vandenbulcke, L.; De Barros, M.; Djemia, P. Nondestructive evaluation of micrometric diamond films with an interferometric picosecond ultrasonics technique. J. Appl. Phys. 2004, 95, 4157–4162. [Google Scholar] [CrossRef]
  121. Norris, P.M.; Caffrey, A.P.; Stevens, R.J.; Klopf, J.M.; McLeskey, J.T., Jr.; Smith, A.N. Femtosecond pump–Probe nondestructive examination of materials. Rev. Sci. Instrum. 2003, 74, 400–406. [Google Scholar] [CrossRef]
  122. Matsuda, O.; Larciprete, M.C.; Voti, R.L.; Wright, O.B. Fundamentals of picosecond laser ultrasonics. Ultrasonics 2015, 56, 3–20. [Google Scholar] [CrossRef] [PubMed]
  123. Zhang, S.; Péronne, E.; Belliard, L.; Vincent, S.; Perrin, B. Three-dimensional acoustic wavefront imaging in anisotropic systems by picosecond acoustics. J. Appl. Phys. 2011, 109, 033507. [Google Scholar] [CrossRef]
  124. Khafizov, M.; Pakarinen, J.; He, L.; Henderson, H.; Manuel, M.; Nelson, A.; Jaques, B.; Butt, D.; Hurley, D.H. Subsurface imaging of grain microstructure using picosecond ultrasonics. Acta Mater. 2016, 112, 209–215. [Google Scholar] [CrossRef] [Green Version]
  125. Sugawara, Y.; Wright, O.; Matsuda, O.; Gusev, V. Spatiotemporal mapping of surface acoustic waves in isotropic and anisotropic materials. Ultrasonics 2002, 40, 55–59. [Google Scholar] [CrossRef]
  126. Li, Q.; Hoogeboom-Pot, K.; Nardi, D.; Murnane, M.M.; Kapteyn, H.C.; Siemens, M.E.; Anderson, E.H.; Hellwig, O.; Dobisz, E.; Gurney, B. Generation and control of ultrashort-wavelength two-dimensional surface acoustic waves at nanoscale interfaces. Phys. Rev. B 2012, 85, 195431. [Google Scholar] [CrossRef]
  127. Sugawara, Y.; Wright, O.; Matsuda, O. Real-time imaging of surface acoustic waves in thin films and microstructures on opaque substrates. Rev. Sci. Instrum. 2003, 74, 519–522. [Google Scholar] [CrossRef]
  128. Pezeril, T.; Klieber, C.; Shalagatskyi, V.; Vaudel, G.; Temnov, V.; Schmidt, O.G.; Makarov, D. Femtosecond imaging of nonlinear acoustics in gold. Opt. Express 2014, 22, 4590–4598. [Google Scholar] [CrossRef] [PubMed]
  129. Abbas, A.; Guillet, Y.; Rampnoux, J.M.; Curlier, J.; Rigail, P.; Mottay, E.; Audoin, B.; Dilhaire, S. Asynchronous ultrafast pump-probe experiments: Towards high speed ultrafast imaging with ultrahigh spectral resolution. In Proceedings of the European Conference on Lasers and Electro-Optics, Munich, Germany, 12–16 May 2013; p. 1. [Google Scholar]
  130. Baba, T.; Ishikawa, K.; Yagi, T.; Taketoshi, N. Measurements of thermophysical property of thin films by light pulse heating thermoreflectance methods. arXiv, 2007; arXiv:0709.1845. [Google Scholar]
  131. Tsang, J.C.; Kash, J.A.; Vallett, D.P. Picosecond imaging circuit analysis. IBM J. Res. Dev. 2000, 44, 583–603. [Google Scholar] [CrossRef]
  132. Oliver, B.W.; Osamu, M.; Yoshihiro, S. Real time imaging of surface acoustic waves on crystals and microstructures. Jpn. J. Appl. Phys. 2005, 44, 4292. [Google Scholar]
  133. McNab, A.; Campbell, M. Ultrasonic phased arrays for nondestructive testing. NDT Int. 1987, 20, 333–337. [Google Scholar]
  134. Diego, C.; Hernández, A.; Jiménez, A.; Alvarez, F.J.; Sanz, R.; Aparicio, J. Ultrasonic array for obstacle detection based on cdma with kasami codes. Sensors 2011, 11, 11464–11475. [Google Scholar] [CrossRef] [PubMed]
  135. Tian, Z.; Leckey, C.A.; Yu, L. Phased array beamforming and imaging in composite laminates using guided waves. Int. Soc. Opt. Photonics 2016, 9805, 980505. [Google Scholar]
  136. Ruzzene, M. Frequency–wavenumber domain filtering for improved damage visualization. Smart Mater. Struct. 2007, 16, 2116. [Google Scholar] [CrossRef]
  137. Rogge, M.D.; Leckey, C.A. Characterization of impact damage in composite laminates using guided wavefield imaging and local wavenumber domain analysis. Ultrasonics 2013, 53, 1217–1226. [Google Scholar] [CrossRef] [PubMed]
  138. An, Y.K.; Park, B.J.; Sohn, H. Isolation of crack-induced standing wave energy from laser scanned ultrasonic image. J. Phys. Conf. Ser. 2012, 1430, 671–678. [Google Scholar]
  139. Staszewski, W.J.; Sohn, H. Signal processing for structural health monitoring. Encycl. Aerosp. Eng. 2010. [Google Scholar] [CrossRef]
  140. An, Y.-K.; Sohn, H. Visualization of non-propagating lamb wave modes for fatigue crack evaluation. J. Appl. Phys. 2015, 117, 114904. [Google Scholar] [CrossRef]
  141. Jeon, J.Y.; Gang, S.; Park, G.; Flynn, E.; Kang, T.; Woo Han, S. Damage detection on composite structures with standing wave excitation and wavenumber analysis. Adv. Compos. Mater. 2017, 26, 53–65. [Google Scholar] [CrossRef]
  142. Yan, R.; Chen, X.; Mukhopadhyay, S.C. Advanced signal processing for structural health monitoring. In Structural Health Monitoring: An Advanced Signal Processing Perspective; Yan, R., Chen, X., Mukhopadhyay, S.C., Eds.; Springer: Cham, Switzerland, 2017; pp. 1–11. [Google Scholar]
Figure 1. Comparison of wire bond BGA and FCBGA packages: (a) Wire bond BGA: the die faces up and attached to the package via wires, (b) FCBGA: the die faces down and attached via solder bumps (courtesy of Amkor Technology, Inc., Tempe, AZ, USA).
Figure 1. Comparison of wire bond BGA and FCBGA packages: (a) Wire bond BGA: the die faces up and attached to the package via wires, (b) FCBGA: the die faces down and attached via solder bumps (courtesy of Amkor Technology, Inc., Tempe, AZ, USA).
Sensors 18 01981 g001
Figure 2. 3D packages with multi-layer components (a) SIP package with POP configuration [25] and (b) Cross sections of a 3D package with stacked die and TSV configuration. Approximate dimensions and zoomed parts which marked with squares [26].
Figure 2. 3D packages with multi-layer components (a) SIP package with POP configuration [25] and (b) Cross sections of a 3D package with stacked die and TSV configuration. Approximate dimensions and zoomed parts which marked with squares [26].
Sensors 18 01981 g002
Figure 3. Schematic demonstration of the technology gap between the IC package size and the spatial resolution of NDT methods.
Figure 3. Schematic demonstration of the technology gap between the IC package size and the spatial resolution of NDT methods.
Sensors 18 01981 g003
Figure 4. Different configurations of the isocentric motion technology X-ray inspection method to achieve oblique viewing (3D): (a) conventional, (b) advanced, and (c) close-to-focus [32].
Figure 4. Different configurations of the isocentric motion technology X-ray inspection method to achieve oblique viewing (3D): (a) conventional, (b) advanced, and (c) close-to-focus [32].
Sensors 18 01981 g004
Figure 5. (a) Detection of a wafer bump void based on the ratio of the void to the total area [32], (b) Void detection in µm level using metallographic microscopic image [36].
Figure 5. (a) Detection of a wafer bump void based on the ratio of the void to the total area [32], (b) Void detection in µm level using metallographic microscopic image [36].
Sensors 18 01981 g005
Figure 6. Crack detection in a micro solder bump: (a) metallographic microscopic image; (b) slice-by-slice inspection of μCT images [36].
Figure 6. Crack detection in a micro solder bump: (a) metallographic microscopic image; (b) slice-by-slice inspection of μCT images [36].
Sensors 18 01981 g006
Figure 7. Schematic picture of three scan modes of SAM method (Courtesy of PVA TePla® Company, Wettenberg, Germany).
Figure 7. Schematic picture of three scan modes of SAM method (Courtesy of PVA TePla® Company, Wettenberg, Germany).
Sensors 18 01981 g007
Figure 8. SAM method for inspection of IC packaging: (a) schematic diagram of SAM method [50], and (b) SAM inspection setup [19].
Figure 8. SAM method for inspection of IC packaging: (a) schematic diagram of SAM method [50], and (b) SAM inspection setup [19].
Sensors 18 01981 g008
Figure 9. Various types of defects detected by SAM: (a) Black void below underfill level, (b) White void above underfill [19], (c) Micro-crack at wafer level, and (d) Delamination between the die top and the encapsulates [49].
Figure 9. Various types of defects detected by SAM: (a) Black void below underfill level, (b) White void above underfill [19], (c) Micro-crack at wafer level, and (d) Delamination between the die top and the encapsulates [49].
Sensors 18 01981 g009
Figure 10. Schematic picture of a SAW inspection system for IC packaging (noncontact laser–based) [65].
Figure 10. Schematic picture of a SAW inspection system for IC packaging (noncontact laser–based) [65].
Sensors 18 01981 g010
Figure 11. Representative SAW responses in the time and frequency domains obtained from (a) an intact flip chip and (b) a flip chip with a missing solder bump [82].
Figure 11. Representative SAW responses in the time and frequency domains obtained from (a) an intact flip chip and (b) a flip chip with a missing solder bump [82].
Sensors 18 01981 g011
Figure 12. Flip chip solder bump inspection using SAW: locations of laser excitation and sensing for (a) Test specimen I and (b) Test specimen II (with different solder bump arrangements), (c) Power spectrum of SAW under pulsed laser excitation, and (d) Close-up of the power spectrum in range of 160–320 KHz for test specimen II [68].
Figure 12. Flip chip solder bump inspection using SAW: locations of laser excitation and sensing for (a) Test specimen I and (b) Test specimen II (with different solder bump arrangements), (c) Power spectrum of SAW under pulsed laser excitation, and (d) Close-up of the power spectrum in range of 160–320 KHz for test specimen II [68].
Sensors 18 01981 g012
Figure 13. A represetative experimental setup for inspection of solder bumps using IRT method, (a) the inspection procedure, and (b) the different parts of the experimental setup [33].
Figure 13. A represetative experimental setup for inspection of solder bumps using IRT method, (a) the inspection procedure, and (b) the different parts of the experimental setup [33].
Sensors 18 01981 g013
Figure 14. Defect detection using infrared thermography method: (a) missing bumps with lower temperature [33] and (b) failed device emitting increased heating [92].
Figure 14. Defect detection using infrared thermography method: (a) missing bumps with lower temperature [33] and (b) failed device emitting increased heating [92].
Sensors 18 01981 g014
Figure 15. Representative images of defects in a sample specimen obtained by (a) C-SAM, (b) IRM, and (c) zoomed cross section of the specimen using SEM (zoomed area is marked on the IRM image) [100].
Figure 15. Representative images of defects in a sample specimen obtained by (a) C-SAM, (b) IRM, and (c) zoomed cross section of the specimen using SEM (zoomed area is marked on the IRM image) [100].
Sensors 18 01981 g015
Figure 16. Schematic illustration of TDR defect detection method [115].
Figure 16. Schematic illustration of TDR defect detection method [115].
Sensors 18 01981 g016
Figure 17. Elastic wave generation and sensing using ultrafast pump and probe laser pulses for detection of internal inhomogeneity (or the thickness of interface between thin film and substrate) [122].
Figure 17. Elastic wave generation and sensing using ultrafast pump and probe laser pulses for detection of internal inhomogeneity (or the thickness of interface between thin film and substrate) [122].
Sensors 18 01981 g017
Figure 18. Imaging of a thin film sample using ultrafast optical laser ultrasonics: (a) experimental setup and (b) surface displacement measurements at three different pump-probe time delays. Changing the time delay between pump and probe (0 ns, 5 ns and 10 ns) provides set of measurements without ultrafast photodetector [123].
Figure 18. Imaging of a thin film sample using ultrafast optical laser ultrasonics: (a) experimental setup and (b) surface displacement measurements at three different pump-probe time delays. Changing the time delay between pump and probe (0 ns, 5 ns and 10 ns) provides set of measurements without ultrafast photodetector [123].
Sensors 18 01981 g018
Figure 19. Experimental images of surface acoustic wave fronts for a Si substrate coated with a 50 nm gold film taken with 100 × 100 pixels at delay times, (a) 7.9, (b) 8.5, and (c) 9.1 ns [127]. These images took around 4 min to be produced.
Figure 19. Experimental images of surface acoustic wave fronts for a Si substrate coated with a 50 nm gold film taken with 100 × 100 pixels at delay times, (a) 7.9, (b) 8.5, and (c) 9.1 ns [127]. These images took around 4 min to be produced.
Sensors 18 01981 g019
Figure 20. Comparisons of two snapshots of a composite plate with delamination defect under inspection with guided wave method (marked with a dashed red circle); (a) time domain out-of-plane displacement response of a composite plate and (b) frequency-wavenumber visualization of defect [141].
Figure 20. Comparisons of two snapshots of a composite plate with delamination defect under inspection with guided wave method (marked with a dashed red circle); (a) time domain out-of-plane displacement response of a composite plate and (b) frequency-wavenumber visualization of defect [141].
Sensors 18 01981 g020
Table 1. Summary of the NDT methods for IC packaging.
Table 1. Summary of the NDT methods for IC packaging.
NDT MethodPrincipleAdvantages and Type of Defect Can DetectLimitationsComment
Scanning Acoustic Microscopy (SAM)A transducer generates the ultrasonic signal at specific frequency. The signal can propagate through the specimen, and it reflects back when there are discontinues or disturbance from the material.
  • Noncontact;
  • Promising to detect delamination, large voids, non-uniform underfill and crack.
  • Poor resolution in µm and sub µm;
  • Not suitable for in-line inspection application;
  • Trade-off between resolution and penetration depth;
  • Poor sensitivity to cracks;
  • Requirement for coupling medium;
  • Poor sensitivity to edge defects.
Resolution can be increased by going to higher frequencies (GHz), but then the penetration depth reduces. Trade-off between resolution and penetration depth.
Surface Acoustic Waves (SAW)Laser/ PZT induced ultrasonic waves for excitation and Doppler effect for noncontact optical vibration measurements.
  • Mostly noncontact;
  • Promising to detect delamination, large voids and non-uniform underfill.
  • The accessibility of the electronic packaging is crucial;
  • Less sensitive to in-depth defects;
  • Poor resolution in sub µm level;
  • More sensitive for thin chips;
  • Reference data required to assess the presence of defect.
X-RayTransmission of X-ray by a source through an object and a receiver receives the transmitted energy. From the transmitted energy the internal condition can be assessed based on the defined characteristics of the healthy and faulty states.
  • Promising to detect delamination, large voids cracks and misalignments.
  • Can be combined with other methods;
  • 3D CT proved to be successful for 3D packaging;
  • In-line application (AXI);
  • Suitable for both inner and outer inspections;
  • Significant improvement to answer the industry needs over the past decade.
  • Conventional methods were destructive;
  • Poor resolution in sub µm level;
  • Long processing time (in the order of hours).
The methods associated with X-ray, are the most common methods utilized in the inspection applications.
ThermographyTo detect heat radiation of a body within the electromagnetic spectrum region by IR camera detector and produce images of the heat distribution. From the temperature variation of the recorded images the internal condition of the body can be assessed.
  • Noncontact;
  • Promising for crack, missing solder bump, delamination and void;
  • Promising to inspect 3D packaging (lock-in thermography).
  • Thermal noise can really affect the results;
  • Spatial resolution for sub-micron levels.
  • Signal difference in the defects in sub µm level is weak;
  • Limited thickness of inspection under the surface;
  • Overheating problem for some very sensitive packaging.
Infrared Microscopy (IRM)Infrared Microscopy (IRM) denotes a microscopy achieved at infrared wavelengths. Objective lenses and Illuminators are to facilitate magnifications and bright field imaging.
  • Noncontact;
  • Promising to detect void and crack in solder bumps.
  • Suitable only for µm defect range;
  • Cannot penetrate through metal or thick underfill.
Time Domain Reflectometry (TDR)Sending an electrical pulse and detecting reflections returning from impedance discontinuities along the controlled-impedance transmission path.
  • Noncontact;
  • Ability to access hard-to access areas;
  • Confirmed successful to detect short failures in 3D packaging;
  • Promising for crack detection.
  • The application is limited only to conductive materials;
  • Reference data required to assess the presence of defect
Magnetic Current Imaging (MCI)Measurements of the magnetic field associated with a flowing current and map out hidden current-carrying wires by measuring the magnetic fields around them. To locate the defect, the current density images are compared with defect–free samples.
  • Noncontact;
  • Suitable for mostly short circuit faults.
  • Reference data required to assess the presence of defect;
  • Poor resolution for sub-micron order.
Ultrafast optical lasers (femtosecond/picosecond)Based on generation of ultrashort pulse lasers on extremely short time intervals. Once a pump pulse hits on the surface of an opaque solid, it produces strain pulse. From the reflection sensing the internal condition of the material can be inspected or characterized.
  • Noncontact;
  • Suitable resolution in sub µm and nm;
  • The wavelength of the excited waves can be tuned to the suitable size.
  • Large data size, and complex post processing;
  • The other limitations are yet to be discovered for the inspection of the IC packaging.

Share and Cite

MDPI and ACS Style

Aryan, P.; Sampath, S.; Sohn, H. An Overview of Non-Destructive Testing Methods for Integrated Circuit Packaging Inspection. Sensors 2018, 18, 1981. https://doi.org/10.3390/s18071981

AMA Style

Aryan P, Sampath S, Sohn H. An Overview of Non-Destructive Testing Methods for Integrated Circuit Packaging Inspection. Sensors. 2018; 18(7):1981. https://doi.org/10.3390/s18071981

Chicago/Turabian Style

Aryan, Pouria, Santhakumar Sampath, and Hoon Sohn. 2018. "An Overview of Non-Destructive Testing Methods for Integrated Circuit Packaging Inspection" Sensors 18, no. 7: 1981. https://doi.org/10.3390/s18071981

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop