Next Article in Journal
Are Leaders’ Perceptions of Organizational Politics Worsening Favorable Employee Outcomes? The Role of Ethical Leadership
Previous Article in Journal
A Concurrence Optimization Model for Low-Carbon Product Family Design and the Procurement Plan of Components under Uncertainty
Previous Article in Special Issue
Delamination-and Electromigration-Related Failures in Solar Panels—A Review
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Fabrication of Black Silicon via Metal-Assisted Chemical Etching—A Review

by
Mohammad Yasir Arafat
1,
Mohammad Aminul Islam
2,3,*,
Ahmad Wafi Bin Mahmood
1,
Fairuz Abdullah
1,
Mohammad Nur-E-Alam
4,5,
Tiong Sieh Kiong
3 and
Nowshad Amin
3,6,*
1
Department of Electrical & Electronics Engineering, College of Engineering, University Tenaga Nasional, Jalan IKRAM-UNITEN, Kajang 43000, Selangor, Malaysia
2
Department of Electrical Engineering, Faculty of Engineering, University of Malaya, Kuala Lumpur 50603, Selangor, Malaysia
3
Institute of Sustainable Energy, Universiti Tenaga Nasional, Jalan IKRAM-UNITEN, Kajang 43000, Selangor, Malaysia
4
School of Science, Edith Cowan University, 270 Joondalup Drive, Joondalup, WA 6027, Australia
5
School of Engineering and Technology, Central Queensland University Australia, Melbourne, VIC 3000, Australia
6
Faculty of Engineering and Built Environment, Universiti Kebangsaan Malaysia, Bangi 43600, Selangor, Malaysia
*
Authors to whom correspondence should be addressed.
Sustainability 2021, 13(19), 10766; https://doi.org/10.3390/su131910766
Submission received: 16 August 2021 / Revised: 19 September 2021 / Accepted: 20 September 2021 / Published: 28 September 2021

Abstract

:
The metal-assisted chemical etching (MACE) technique is commonly employed for texturing the wafer surfaces when fabricating black silicon (BSi) solar cells and is considered to be a potential technique to improve the efficiency of traditional Si-based solar cells. This article aims to review the MACE technique along with its mechanism for Ag-, Cu- and Ni-assisted etching. Primarily, several essential aspects of the fabrication of BSi are discussed, including chemical reaction, etching direction, mass transfer, and the overall etching process of the MACE method. Thereafter, three metal catalysts (Ag, Cu, and Ni) are critically analyzed to identify their roles in producing cost-effective and sustainable BSi solar cells with higher quality and efficiency. The conducted study revealed that Ag-etched BSi wafers are more suitable for the growth of higher quality and efficiency Si solar cells compared to Cu- and Ni-etched BSi wafers. However, both Cu and Ni seem to be more cost-effective and more appropriate for the mass production of BSi solar cells than Ag-etched wafers. Meanwhile, the Ni-assisted chemical etching process takes a longer time than Cu but the Ni-etched BSi solar cells possess enhanced light absorption capacity and lower activity in terms of the dissolution and oxidation process than Cu-etched BSi solar cells.

1. Introduction

Improving the crystalline silicon (Si) solar cells in terms of efficiency and quality, reducing cost in their mass production line, and ensuring easy fabrication are imperative tasks while promoting solar cell applications in a sustainable environment. In the late 1990s, serendipitous discoveries in Mazur’s laboratory led to the development of a new type of modified Si textured surface called ‘Black Silicon’ (BSi) because of its very high light absorption properties. BSi refers to Si surfaces with micro- or nanostructures, which effectively reduce light reflection. Consequently, BSi appears dark-colored (i.e., black) compared to the typical light-colored (i.e., silver or gray) planar Si substrates [1].
However, BSi has been used in various applications including micro-electric mechanical models [2], biochemical sensors [3], optoelectronic and photonic devices [4], and lithium-ion batteries [5]. BSi has also been used as a supporting medium for chemical and physical reactions, such as in fast metal oxidization and catalytic formations of carbon nanotubes [6]. Moreover, BSi is being used as a predecessor host for the deposition of other materials (e.g., chemical and metal) facilitating an adaptable environment [7]. These successful applications of BSi have encouraged researchers to utilize the features of BSi in creating solar cells with higher efficiency and reduced cost for mass production. In this regard, BSi has been widely scrutinized for its noticeable textured surface with a light-trapping feature that can increase Si solar cell efficiency by reducing its reflectivity and boosting the absorption of incident photons [8]. To fulfill the criteria for developing BSi solar cell surface fabrication, several methods have been established, for instance, reactive ion etching [9], metal-assisted chemical etching [10], plasma etching [11], laser texturing [12], and wet chemical (anisotropic) etching [13], plasma immersion ion implantation, and atmospheric pressure dry texturing. Among them, one well-known method is metal nanoparticle-assisted chemical etching or metal-assisted chemical etching (MACE).
MACE is used for creating BSi micro- or nanotextured surface using metal nanoparticles as a catalyst, such as gold (Au) [14,15,16], silver (Ag) [17], aluminum (Al) [18], copper (Cu) [19], and nickel (Ni) [20]. The BSi surface texturing occurs when the Si surface is etched with chemical oxidizing agents such as H2O2 and HF in the presence of the abovementioned metal nanoparticles [20]. The first use of the MACE method was demonstrated by Malinovska et al. (1997) when a porous Si was fabricated by etching the Si substrate with Al nanoparticles in the presence of oxidizing agents including HF, HNO3, and H2O [21]. Later, the MACE method was examined in detail by Li and Bohn [22] where multiple Si substrates were sputtered with a thin layer of noble metals, including Au and Al, which were catalyzed through oxidizing agents H2O2 and HF. This resulted in the growth of straight micro- or nanostructures on the Si surface. This etching method subsequently gained attention and, over the decades, several procedures based on the MACE method were developed for fabricating BSi textured surfaces using various noble or non-noble metal nanoparticles as catalysts and different oxidizing agents.
In this review, the key challenges associated with achieving the optimum surface properties of BSi with the MACE method, including its mechanism, chemical reaction, the direction of etching, mass transfer, and the overall process of BSi surface fabrication, are presented. The surface texture as well as light trapping and carrier transportation is directly related to improvement of the efficiency of c-Si solar cells. Nevertheless, the materials and chemicals used in the texturing techniques are equally important for achieving optimum surface texture. Thus, three metal catalysts, Ag, Cu, and Ni, which are commonly used in the MACE method, have been reviewed and analyzed to compare their experimental procedures and the different characterization results of BSi. This review article aims to identify the role of each metal catalyst in producing high-quality and low-cost BSi solar cells for mass production.

2. Mechanism of MACE Technique

MACE is described as a form of chemical etching of BSi for creating the micro- or nanotextured surface that occurs in the presence of oxidizing agents. This etching is accelerated via the deposition of metal nanoparticles, which play the role of catalyst in etching the Si substrate surface [23].
The basic mechanism of the MACE method is schematically demonstrated in Figure 1 [21,24,25], where a sample Si substrate is etched using HF:H2O2 solution. First, the metal catalyst is deposited on the sample Si substrate, and then the sample is immersed in the solution. The HF:H2O2 forms resolvable H2SiF6 by reacting with the Si surface. Subsequently, through the ‘Van der Waals force’ and the ‘Electrostatic Attractive force’, the metal catalyst is moved into the Si substrate as shown in Figure 1 [26]. The whole mechanism is modeled as a localized electrochemical procedure wherein the Si represents an anode, and the catalyst reacts as a cathode with the surrounding and current flows between the cathode and the anode during the etching process [27].
Typically, the MACE method is classified into one-step MACE and two-step MACE [26]. In one-step MACE, the etching procedure occurs in an aqueous solution that mostly contains hydrogen fluoride, metal nitrates, or sulfate along with an oxidizing agent hydrogen peroxide [28,29]. In two-step MACE, the first step involves the metal being deposited in one of three different ways: (i) deposition in aqueous solution via calculating molar ratio, (ii) physical deposition via thermal evaporation, (iii) deposition via sputtering. The second step involves the etching that takes place in the HF:H2O2 solution [29,30,31,32]. This paper will focus on both one-step and two-step MACE methods that involve depositing three different types of metals (Ag, Cu, and Ni) in an aqueous solution via calculating molar ratios, with subsequent etching in HF:H2O2 solution. For simplicity, in this paper we describe the mechanism of MACE in three sections: chemical reaction, etching direction, and mass transfer.

2.1. Reaction

When the Si substrate is etched in the oxidizing solution in the presence of the catalyst, several conceivable reactions can occur in two different sections: cathode and anode. In the case of the cathode reaction, Li and Bohn (2000) proposed that two reactions take place: first, H2O2 breaks by reducing at the metal; second, the reduction of protons into H2 occurs [22]:
H2O2 + 2H+ → 2H2O + 2h+,
2H+ + 2e → H2 ↑.
Moreover, in the case of the anode reaction, the etching reaction occurs at the anode section, where the Si substrate is oxidized and dissolved:
Si + 4h+ + 4HF → SiF4 + 4H+,
SiF4 + 2HF → H2SiF6.
Chartier et al. (2008) proposed a mixed reaction composed of divalent and tetravalent dissolution reactions. Combining the reactions that occur at the cathode and the anode:
Si + n 2 H 2 O 2 + 6 HF nH 2 O + H 2 SiF 6 + 4 n 2 .
The localized etching occurs as an electrochemical procedure with nanometer-sized metal that acts as a local cathode [25]. It must be noted that the essence of the MACE method is generating holes (h+) from H2O2 by reducing the oxidants (H2O2 or O2). Both the generation and reduction are assisted by the metal catalyst [33]. Consequently, in MACE, holes are injected into the Si substrate via the metal border, and metal nanoparticles (catalyst) are surrounded by these holes, depending on the electrostatic force, which causes the etching of Si to occur underneath the catalyst [34].
The standard potential diagram illustrated in Figure 2 [22,27,35] shows the vast and positive electrochemical potential of H2O2, where the holes (h+) are injected directly into the valence band of the Si. These hole (h+) injections are widely independent of reducing strength levels and types of doping, even though etching rates and featuring resolutions can be affected by these parameters. Based on the kinetic energy, the process of reducing H+ from H2O2 on a smooth Si substrate surface is relatively slow, and the etching rates of the direct dissolution of Si in HF:H2O2 solution are lower than 10 nm/h. The concentrations of the solution are insignificant in this case, even with high HF and H2O2 concentrations [21].
Nevertheless, it should be mentioned that, in some cases, the solutions with high HF and H2O2 concentrations can negatively affect feature resolutions when etched for a long period. Having said that, solutions with high HF and H2O2 concentrations have been used for etching porous Si during MACE with a selectivity of 105:1 [36]. It is believed that during the charge transfer mechanism of the MACE process, band bending and barrier height play important parts [37,38]. By calculating this barrier height along with the valence band maximum, the process of charge transfer was found to be profoundly impacted by the band bending that occurs at the Si and the metal crossing point [26]. Because of this band bending, it was reported that around 1–2 nm depth of etching can occur during MACE [27]. This thereby restricts the transportation of the holes (h+) further from the region that is surrounded by the metal catalyst [39]. As mentioned earlier, the reaction that happens between the Si substrate and HF:H2O2 solution results in a relatively low etching rate. Applying the MACE method that uses metal as a catalyst in the reaction results in the etching reaction being faster and more impactful for the Si substrate fabrication process [22]. This happens mainly because of the kinetic energy, which prefers the reduction of H2O2 on the surface of the metal in contrast to the surface of the Si. In this way, the cathode reactions occur comparatively faster on the metal surface than on the Si surface [40]. Thus, the MACE method allowed use of noble or non-noble metal nanoparticles for etching.
Chattopadhyay et al. (2002) tested the electrochemical potentials of H2O2 and H2O and the energy level of the Si substrate. They observed that holes (h+) are injected deeply into the valence band through platinum (Pt) nanoparticles while the Si substrate is etched with HF:H2O2 solution [41]. In the same vein, Peng et al. (2006) compared the electrochemical potentials of five redox ratios (Ag+/Ag, PtCl62−/Pt, Fe3+/Fe2+, Cu2+/Cu, AuCl4/Au) and the energy level of the Si substrate. From their experiment with a silver (Ag) and HF solution, the authors stated that the Ag reduction occurred surrounding the Ag center where the Si was oxidized and dissolved [29]. However, both Chattopadhyay et al. and Peng et al.’s work did not show that the charge transfers between the metal and Si substrate are deeply affected by the band bending of the Si surface.
The band bending of the Si surface can be dependent on the levels and types of doping concentration of the Si substrate, the size of the metal (catalyst) atoms or ions, the Fermi level, the Si substrate’s surface states, and the etchant’s components [21]. It must be mentioned that these aspects of the Si etching mechanism have not been highlighted properly in the literature so far. Moreover, the role of the energy levels of charge transfers between the catalyst and the Si substrate in the MACE method awaits investigation.

2.2. Mass Transfer

The comprehensive morphologies of the etched structures correlate with the number of processes during MACE, for instance, the mass transfers, the movements of the metal catalyst, the productions of H2, the productions of heat, the dissolutions and depositions of metal nanoparticles, the diffusions of oxidizing agents, and the reductions of holes (h+) at the Si substrate [42]. It can be postulated that, at the boundary between the etched structure of the Si substrate and the metal catalyst, the atoms of Si are oxidized. Moreover, the diffusion of the oxidizing agents or reagents occurs in this area, as illustrated in Figure 3, Model 1 [41,43]. This postulation is considered reasonable for the MACE method where the etching process is accelerated by metal nanoparticles with apparent small lateral sizes. Therefore, the diffusions of the oxidizing agents at the boundary between the etched structure of the Si substrate and the metal catalyst involve only a short distance, allowing the process to be achieved easily. It is well-known that the etching process is very complex, and there is a lack of explicit experimental results to support this theory. Meanwhile, another assumption has been developed that the Si atoms in contact with the metal catalyst are dissolved in the catalyst and subsequently diffused through it to reach the metal surface or the boundary between the solution and the catalyst, wherein the Si atoms are oxidized, as illustrated in Figure 3, Model 2 [44]. It is worth mentioning that there is no explicit discussion found in the literature about MACE to support this assumption.
According to Model 2, the Si atoms that are in contact with the metal nanoparticles are dissolved in the metal nanoparticles and then diffuse through them to the metal/solution interface. Those Si atoms that reach the metal/solution interface are oxidized and etched away. This postulation is quite comparable to the well-known fact that Si substrates are usually catalytically oxidized at low temperatures in O2 or the ambient air while covered with metal either in film or nanoparticle form [45,46,47,48]. In this case, the Si atoms break out from the Si substrate at the interface between the Si substrate and the metal catalyst, and they dissolve in the catalyst, diffuse through it, and thermally oxidize on the metal–solution interface. We must note that if the Si substrate is covered with large-sized metal particles (more than 1 μm), lateral diffusions of the oxidizing agents along the boundary of the Si substrate and the metal catalyst (i.e., Model 1) will be a long-distance process, whereas diffusions of the Si atoms through the catalyst (i.e., Model 2) will be comparatively short distanced (less than 10 nm) and can be dominant [39]. However, there is a need to conduct experimental studies to prove explicitly which of the two models is dominant during the MACE method.

2.3. Direction

For understanding the direction of the micro-/nanostructure on the etched Si substrate surface, two basic chemical wet etching orientations are discussed: isotropic etching (orientation-independent) and anisotropic etching (orientation-dependent), as illustrated in Figure 4. In isotropic etching, the etchants H2O2 or HNO3, which are considered as non-directional etchants, are applied to the Si substrate. During isotropic etching, a chemical reaction occurs that leads to the transportation of etchants to the sample surface and/or etching products away from the etched surface. This helps to remove the exposed areas of the Si substrate and, as a result, the sample is etched equally in all directions [49,50,51].
In anisotropic etching, the etchants are described relative to their ‘orientation dependence’ because of their varying etching rates on different crystal plates. This means the etchant etches at variant speeds and in multiple directions, which thereby creates a faster reaction compared to the surface reaction [52,53]. Additionally, when isotropic or anisotropic etching takes place, three crystallographic orientations occur within a local minimum etching rate plot. As a result, three different corresponding directions of crystal structured surfaces appear on the concave Si substrate samples as shown in Figure 5. These directions are labeled as Si {100}, Si {110} and Si {111} [50].
It must be mentioned that most of the wet etching processes discussed in the literature are anisotropic. In isotropic wet etching, materials are removed in an even and uniform way in all directions via HF solution or a mixture of HF and NH4F solution. This contrasts with the anisotropic wet etching where materials are removed in mainly vertical directions (i.e., through vertical walls with fewer masks undercut) [54]. However, the directions of the micro-/nanostructure on the BSi surface depend dramatically on the reduction potentials of the noble or non-noble metals, which are discussed in detail in the following sections.

3. Applied Metal Nanoparticles in the MACE Technique

Some essential aspects of BSi surface fabrication using the MACE technique are considered in this section. It should be noted that the growth of the micro- or nanotextured surface of BSi can only be achieved under specific fabrication conditions. In particular, fabrication parameters have significant effects on the morphologies and optical properties of BSi. These parameters include molarity ratios and concentrations of HF:H2O2 solution, time and temperature levels of etching, and, most importantly, types of metals being used as catalysts [55,56]. This section will review three different metals (silver, copper, and nickel) along with their influences on the fabrication of BSi surfaces.

3.1. Silver (Ag)

Silver is one of the most used noble metals, and several experimental studies were found in the literature where Ag was used as a catalyst in the MACE method. Li et al. presented a simple MACE method by creating a silver coating on the surface of the Si substrate in an aqueous solution of AgNO3 (with 0.01 M molar ratio) and HF (with 2.3 M molar ratio). The etching was conducted with a thin Ag layer using oxidizing agents (H2O2, H2O, and HF) at room temperature in the absence of light. Subsequently, the Ag particles were removed in the HNO3 solution. This work aimed to identify the optimized fabrication parameters, including etching time, deposition time, and the molar ratio of oxidizing and aqueous solutions, to gain the best anti-reflective BSi surface. The results showed that the best experimental parameter for depositing AgNO3:HF is 0.01:2.3 M, with a deposition time of 120 s. The optimized reaction parameters of etching time and molar ratios of HF:H2O2 are 5 m and 4.6:0.5 M, respectively. Moreover, they noted that the size of the nanowires should be within the range of 1–3 µm to stabilize or increase the antireflection capability of BSi [55]. Two main reactions happen during the etching:
Si + 4Ag+ + 6F → 4Ag + SiF62−,
2Ag + H2O2 + 2H+ → 2Ag+ + 2H2O.
Following a similar approach using the AgNO3:HF aqueous solution, Abdulkadir et al. (2019) conducted fabrication of the BSi surface through a one-step MACE process [56]. However, their work was only focused on optimizing the etching time to enhance the absorption of broadband light in BSi. Their result complies with Li et al.’s regarding the optimum height of the nanowires structured on the etched BSi surface, which was around 2–2.9 µm, and the average diameter of around 100 nm. However, Kadir et al.’s results revealed that the optimized etching time is around 80 s. It was initially revealed that the growth of BSi nanowires started at 60 s of the etching time; however, at that time many regions remained unetched. Full-packed nanowires were observed when the etching time increased to 80 s. Surprisingly, the nanowires started to lose height at 90 s etching time, which was caused by over-etching effects. Thereby, the weighted average reflection (WAR) of 6% was observed at the optimized 80 s etching time owing to denser and taller nanowires. The resultant reflectance is shown in Figure 6b. It was found that taller and denser BSi nanowires allowed less WAR and more absorption in the wavelength region of interest. The top view SEM image of their textured substrate is shown in Figure 6a.
In terms of metal size and thickness, Lajvardi et al. highlighted the importance of identifying the optimum Ag thickness required for fabricating BSi textured surfaces using the MACE method [57]. They revealed that the catalyst layer thickness is a key determinant for the morphologies of the fabricated nanowires. According to their results, the optimum Ag thickness is 10 nm. Meanwhile, Chartier et al. during their experiment stated that oxide cannot be formed at the surface of Si at a higher HF:H2O2. However, they also mentioned that after adding the Ag nanoparticles at a lower HF:H2O2 ratio, the surface was oxidized, and distribution of the injected holes (h+) occurred. As a result, dissolution of the Si substrate happened, resulting in the optimum polished BSi surface [25].
In another work, Li et al. used an additive that contained biological enzymes. The enzymes were macromolecular proteins with a precise catalytic function using Ag in the MACE method. Their results showed that by using the Ag catalyst along with the additive, a maximum solar cell efficiency of 19.56% was achieved, which was 0.65% higher than the additive-less method [35]. In an interesting view, Zhang et al.’s experimental results confirmed that all nanowires (Figure 7) on a BSi surface follow the same direction when using Ag nanoparticles as catalysts [58].
The authors presented the observation results of six types of BSi nanowires with different surface orientations and directions. Their results showed that the direction of these nanowires had a definite relation to the surface orientations of the Si substrate and the Ag catalyst. In other words, every nanowire followed the same direction of the Si surface orientation, thus creating organized layers of porous holes and columnar structures on the BSi surface. As a result, easy absorption of photons within the visible wavelength range was observed, which subsequently created high-quality and efficient solar cells. As the Ag nanoparticles have larger redox potentials compared to Cu and Ni, this may allow the formation of one-dimensional Si structure arrays in HF:H2O2 solution, as shown in Figure 7. This catalytic property leads to the Ag nanoparticles commonly used in the MACE technique.

3.2. Copper (Cu)

Cu has also been used as the noble metal catalyst in the MACE technique as it is low cost and more abundant than Ag. Recently, owing to the increasing need for mass production of lower-cost solar cells, Cu nanoparticles are getting more attention for the fabrication of BSi solar cells. In this regard, Cao et al. successfully prepared a textured porous layer on a Si surface in a Cu2+: HF:H2O2 solution [59]. The low redox potential of Cu2+ helped the Cu nanoparticles to be broken easily by the oxidizing agent H2O2, which resulted in a self-continuous etching process of the Si substrate. The overall Cu-assisted chemical etching reaction of Si into the mixed solution of HF and H2O2 is shown below.
4HF + 4H2O2 + Si + 2Cu →SiF4 + 4H2O + 4OH +2Cu2+.
Lee et al. in 2010 observed that by using Cu as a catalyst, BSi nanostructures were etched randomly because Cu nanoparticles do not fallow any specific direction while etching [60]. In the same vein, Park et al. in 2018 investigated the Cu MACE experiment and found that if the ratio of HF is significantly less during the etching time, the rate of etching is too low to properly form the textured surface. The same thing happened when the etching time was too short. When they increased the etching time along with increasing the ratio of HF solution, they found the lowest reflectance. The reason behind this is the formation of an oxide film by HF at the interface of Si and Cu particles during the etching time, which helped the Cu particles to penetrate deeply enough to form a dense and minute pore structure, as shown in Figure 8a [61].
Lua and Barron in 2014 proposed a one-step MACE using Cu as a metal catalyst [63]. In their experimental study, they used a solution mixed with Cu2+ and H3PO3 where inverted pyramid-shaped structures were developed on the BSi surface. These shapes appeared because of the low reduction potentials of Cu2+ that caused the Si etching to happen with Si{100} and Si{110} directions simultaneously. Chen et al. concluded that in a Cu-etched BSi surface, the textures are inverted pyramid-shaped because of the low redox potential of Cu nanoparticles and the anisotropic etching behavior. Figure 8b shows the SEM images of the inverted pyramidal structure surface layer of the Si wafer [62]. However, as mentioned, Lee et al.’s experimental result with Cu nanoparticles resulted in the formation of hierarchical synthesized rough Si surfaces. This happened because of the occurrence of shallow pits on the Si surface from relatively low redox potentials [64,65].
Moreover, to reduce defects in micro-structured textured surfaces with the minimum reflectivity of a Cu-etched BSi surface, Zhao et al. proposed that the Cu catalyst is better suited with Si{110} direction crystalline planes [66]. In their experimental study, the morphologies of the BSi surface were transformed from the upright pyramid-shaped to the inverted pyramid-shaped structure via increasing deposition concentration and agglomeration degree of Cu nanoparticles, as shown in Figure 9. The latter shape in Figure 9b helped to obtain a minimum weighted average reflectance of 6.19% in the visible wavelength region of the AM1.5G spectrum. This result indicated the greater potential of Cu in the MACE method for the mass production of solar cell applications.
The Cu-etched substrate is unlike the Ag-etched substrate where, as discussed above, every micro or nanostructure has the same direction with well-defined orientation. The self-continuous etching feature is assumed to be effective for the mass production of solar cells.
However, it should be noted that underexposure, copper contamination reduces the lifespan of minority carriers in p-type silicon, which may happen during the MACE process resulting in significant efficiency losses for solar cells [67]. Again, it must be considered, as mentioned earlier, that apart from the solar cell, BSi has other multi-dimensional applications; in this case, compared to BSi with a pyramidal or nano-porous surface structure (e.g., Cu-etched substrate), nanowire surface structure (e.g., Ag-etched substrates) wafers are more in demand because of their unique and homogenous nanowire structure.

3.3. Nickel (Ni)

Instead of noble Ag and Cu nanomaterials, Ni is used as the non-noble metal catalyst in the MACE method. Like Cu, Ni was proved cost-effective for the fabrication of BSi. Gao et al. combined Cu and Ni nanoparticles to fabricate BSi on a multi-crystalline Si substrate. In their experimental study, the etching rates of Cu nanoparticles at room temperature were greatly enhanced via the addition of Ni-ion solution. The results for an inverted pyramidal shape textured surface (shown in Figure 10a,b) with an average reflectivity of 10.30% found in the visible wavelength range were around 50% lower than etching without adding Ni ions [68]. However, it must be considered that using two metals as a catalyst in a single MACE process can increase the cost and hinder the mass production rate of BSi solar cells. In light of this, the previous authors conducted another experimental study where they used only Ni as a catalyst for the fabrication of BSi. They mainly focused on obtaining the lowest reflectance rate by changing the concentration of HF solutions. By enhancing the HF concentration, they noticed that the Ni nanoparticles gradually disappeared from the surface of the Si wafer during the etching reaction. The MACE etching reaction for Ni on a Si wafer is expressed in Equation (9):
Ni + H2O2 + 2H+ → Ni2+ + 2H2O.
At the optimum concentration level, around 2.30% reflectively was obtained with Ni nanoparticles, which was 8% lower than their results with Cu and Ni combined, as illustrated in Figure 10c. In their experiment, they also noted the way Ni nanoparticles wiped out or disappeared during the MACE process; this has not happened for other noble metals like Ag [69].
Addressing the cost and mass production of BSi solar cells, a recent study by Kong et al. highlighted the importance of using a low-cost metal as a catalyst, such as Ni, to prevent the lift-off step problem that occurs while using a high-cost catalyst, such as Ag [70]. In most of the MACE applications, an extra lift-off step is required using the metal, which posed a challenge to accomplishing the low-cost mass production of BSi solar cells. Therefore, the experimental study by Kong et al. proposed the use of Ni nanoparticles as the catalyst to achieve the satisfactory size and density of micro-/nanostructures on the BSi surface.
Their approach is considered to be an economical improvement of large-scale production of BSi solar cells because of the self-assembly properties of Ni-etched MACE. The lift-off problem during the MACE method was previously addressed by Stafiniak et al. where they proposed the use of Ni nanoparticles because they can be easily removed from the Si substrate, compared to other metal nanoparticles, and they are not oxidized at room temperature and do not leave any unwanted stains on the BSi surface [71]. Their experimental study aimed to fabricate patterned BSi textured surfaces with wider ranges of lateral dimension and density. Therefore, by using Ni nanoparticles and ensuring an efficient lift-off, a wider surface can be managed for the growth of texturization with antireflection arrays.
On the other hand, Gao et al. identified that different sizes of Ni nanoparticles adhere to the Si surface and play varied roles during the etching process [70]. For example, small Ni nanoparticles act as the catalyst between the margin of the Si substrate and chemical solution to fabricate the Si surface. Meanwhile, large Ni nanoparticles also act as the catalyst, but they are responsible for two things: forming the inverted pyramid-shaped structures and forming nanopores on top of the inverted pyramid-shaped structures. This happens because large Ni nanoparticles reduce their size to match the small Ni nanoparticles and create the inverted pyramids on the Si surface. This reduction of size happens through the corrosion of the chemical solution by the large Ni nanoparticles, resulting in tiny left-over nanoparticles that were separated from the large ones. These tiny nanoparticles subsequently create the nanopores on top of the inverted pyramid-shaped structures. The formation of nanopores using Ni nanoparticles was previously mentioned by Han et al., where they implied that when the nanopores are formed on top of the constructed inverted pyramid-shaped structures, rhombic nanostructures are created with a 50–100 nm size, as shown in Figure 10b. These nanostructures were found to be higher in light abortion and lower in reflectivity than the typical inverted pyramids [29]. Path Length Enhancement is a term used to describe this phenomenon [72]. It is more common for the micro-nanostructured front surface of the Si solar cell to result in longer light paths into the Si wafer. This microstructure, combined with the nanopores, creates more angled reflections of light than either constituent alone. When the absorbed light at the band edge travels a tilted path over the wafer’s surface, a delay is created that may be used to evaluate the performance of a textured surface layer. From these results, it can be assumed that using Ni nanoparticles is more effective than using Cu nanoparticles because of the formation of more dynamic textured structures with the former catalyst. However, two things must be noted about the etching time and surface passivation process: Ni MACE is slower than Cu and Ag owing to the extra time required for the formation of the unique model structures on the BSi surface, and this unique nanostructure surface sometimes makes the passivation process a bit harder.

4. Discussion

There are numerous ongoing research and developments regarding the fabrication of BSi using the MACE method to create micro or nanotextured surface layers. In this paper, the mechanism of MACE was described, which includes deposition of metal in an aqueous solution and etching in HF:H2O2 solution through reaction, mass transfer, and direction. It was found that there is a vast positive electrochemical potential of H2O2, which indicated that the holes (h+) are injected directly into the valence band of the Si during the MACE reaction. Furthermore, it was recognized that understanding the reaction mechanism of the Si surface is crucial. This is because, during MACE, the metal catalysts stay on top of the silicon layer, resulting the hole (h+) generation and injection for oxidizing Si, which take place on the top surface of the Si substrate. Unfortunately, the experimental studies on the Si surface reaction mechanism part of the MACE process at atomic scale are still very limited. Thereby, because of the insufficient kinetic information available in the literature, the standard energy diagram illustrated above does not provide explanations of the various etching speeds and the multiple etching morphologies on the Si substrate. Meanwhile, researchers are affirmative regarding the mass transfer incident that happens during the diffusion process of MACE. Based on the two theories of diffusion models found in the literature, it can be said that the theory of diffusions of the Si atoms through the catalyst has more fundamental logic than the theory of diffusions of the oxidizing agents along the boundary of the Si substrate and the metal catalyst. The direction of the micro-/nanostructure that is originated on the etched Si substrate surface was described by reviewing isotropic and anisotropic theoretical analysis. This analysis showed that MACE follows the anisotropic orientation-dependent etching direction.
The key analysis of this paper focused on the MACE method that involves depositing three different types of metals (Ag, Cu, and Ni) in an aqueous solution with subsequent etching in HF:H2O2 solution. It should be noted that the increasing need for mass production of high-quality and low-cost BSi solar cells has led to the wide application of the MACE method for the fabrication of BSi surfaces. The presence of metal nanoparticles as catalysts accelerates the dissolution and the oxidization of Si substrates in a chemical solution, which reduces the etching time. Nevertheless, the experimental procedures for each metal and the characterization results of BSi micro- or nanotextured surfaces differ in each metal. From the experimental studies presented in the literature, it was identified that Ag-etched BSi substrates contain nanowire textured surfaces with well-defined orientation as each nanowire follows the same direction as the Si surface orientation, hence creating an organized and very homogenous layer of nano-width columnar structures on the BSi surface. As a result, easy absorption of photons within the visible wavelength range was observed. Therefore Ag-etched BSi wafers can be described as high in quality in terms of their unique surface structure criteria.
On the other hand, both Cu- and Ni-etched BSi do not contain nanowire textured surfaces and, instead of the columnar nanowire structures, upright/inverted pyramid-shaped with or without nanopore or synthesized dense and rough nanopore surface structures are created. From the review, we found that the inverted pyramidal structure is a better light absorber compared to the upright pyramidal structure. In terms of Cu-etched substrate, it has been recognized that Cu MACE is typically etched within a short period of time to create the inverted pyramidal structure. However, when it is etched for longer time, further reactive activities can result in dense and minute pore structure that appears when breaking the inverted pyramidal structures. This is not the case with Ni because it takes a longer time to construct the inverted pyramidal structures, resulting in a lower activity rate compared to Cu. This is a unique property of Ni, which is beneficial to preserving the preferred inverted pyramidal shape.
In terms of Ni-etched substrates, it has been observed that, during the MACE process, Ni nanoparticles can construct inverted pyramid-shaped structures containing nanopores. This special type of microstructure surface was found to be lower in reflectivity than the typical inverted pyramids in Cu-etched BSi substrates. Another advantage of the Ni MACE process compared to other noble metals like Ag is that Ni nanoparticles can disappear during the etching process, thus eliminating the need for a further step to clean the remaining metal nanoparticles from the substrate. However, the etching time of the Ni MACE process is slower than those of Cu and Ag MACE because of the longer dissolution and oxidation process during the etching time.

5. Conclusions

In this paper, the MACE method was reviewed along with its mechanism in detail. Furthermore, three metal catalysts (Ag, Cu, and Ni) were critically analyzed to identify their roles in producing BSi solar cells with enhanced quality and in an economical way. To fulfill the criteria of mass production of BSi solar cells, a low-cost metal catalyst is required during the MACE method for the fabrication of BSi. Both Cu and Ni are more economical and cost-effective compared to Ag catalysts. In this regard, the Cu MACE process and Ni MACE process were proven to be effective for mass production because of the self-continuous etching feature and self-assembly feature, respectively. Although apart from BSi solar cell technology, Ag-etched BSi is popular in other applications of BSi; Cu- and Ni-etched BSi wafers are more cost-effective and more appropriate for the mass production of BSi solar cells. However, Cu is known to correspond to light-induced minority carrier degradation in p-type silicon. Although the Ni MACE process takes a longer time than Cu, Ni-etched BSi substrates possess enhanced light absorption capacity. In conclusion, by investigating theoretical reviews and analysis, it can be stated that, for fabricating BSi via the MACE method, Ni MACE is the most compatible for implementation in solar cell technology.

Author Contributions

Conceptualization, M.Y.A. and M.A.I.; validation, M.A.I., N.A. and M.N.-E.-A.; formal analysis, M.Y.A., A.W.B.M., M.A.I.: investigation, M.Y.A.; resources and data curation, M.Y.A., A.W.B.M., T.S.K. and F.A.; writing—original draft preparation, M.Y.A.; writing—review and editing, M.Y.A., M.A.I. and M.N.-E.-A.; supervision, A.W.B.M. and N.A. All authors have read and agreed to the published version of the manuscript.

Funding

This research is financially supported by the grant code of FRGS/1/2018/STG07/UNITEN/01/3 from the Ministry of Higher Education (MoHE) of Malaysia.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Not applicable.

Acknowledgments

The authors wish to thank the Ministry of Higher Education of Malaysia (MoHE) for providing the research grant with the code of FRGS/1/2018/STG07/UNITEN/01/3 to support this research. The authors also acknowledge the publication support from the iRMC of Universiti Tenaga Nasional (UNITEN).

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Liu, X.; Coxon, P.; Peters, M.; Hoex, B.; Cole, J.; Fray, D. Black silicon: Fabrication methods, properties and solar energy applications. Energy Environ. Sci. 2014, 7, 3223–3263. [Google Scholar] [CrossRef] [Green Version]
  2. Barillaro, G.; Nannini, A.; Piotto, M. Electrochemical etching in HF solution for silicon micromachining. Sens. Actuators A Phys. 2002, 102, 195–201. [Google Scholar] [CrossRef]
  3. Angelescu, A.; Kleps, I.; Mihaela, M.; Simion, M.; Neghina, T.; Petrescu, S.; Moldovan, N.; Paduraru, C.; Raducanu, A. Porous silicon matrix for applications in biology. Rev. Adv. Mater. Sci. 2003, 5, 440–449. [Google Scholar]
  4. Berger, C.M.; Dieker, M.; Thonissen, L.; Vescan, H.; Luth, H.; Munder, W.; Theiss, M.; Wernke, P. Grosse, Porosity superlattices: A new class of Si heterostructures. J. Phys. D Appl. Phys. 1994, 27, 1333–1336. [Google Scholar] [CrossRef]
  5. Ge, M.; Fang, X.; Rong, J.; Zhou, C. Review of porous silicon preparation and its application for lithium-ion battery anodes. Nanotechnology 2013, 24, 422001. [Google Scholar] [CrossRef]
  6. Fan, S. Self-Oriented Regular Arrays of Carbon Nanotubes and Their Field Emission Properties. Science 1999, 283, 512–514. [Google Scholar] [CrossRef]
  7. Christiansen, A.; Clausen, J.; Mortensen, N.; Kristensen, A. Injection moulding antireflective nanostructures. Microelectron. Eng. 2014, 121, 47–50. [Google Scholar] [CrossRef] [Green Version]
  8. Yuan, H.; Yost, V.; Page, M.; Stradins, P.; Meier, D.; Branz, H. Efficient black silicon solar cell with a density-graded nanoporous surface: Optical properties, performance limitations, and design rules. Appl. Phys. Lett. 2009, 95, 123501. [Google Scholar] [CrossRef]
  9. Atteia, F.; Rouzo, J.L.; Denaix, L.; Duché, D.; Berginc, G.; Simon, J.J.; Escoubas, L. Morphologies and optical properties of black silicon by room temperature reactive ion etching. Mater. Res. Bull. 2020, 131, 110973. [Google Scholar] [CrossRef]
  10. Huo, C.; Wang, J.; Fu, H.; Li, X.; Yang, Y.; Wang, H.; Mateen, A.; Farid, G.; Peng, K.Q. Metal-Assisted Chemical Etching of Silicon in Oxidizing HF Solutions: Origin, Mechanism, Development, and Black Silicon Solar Cell Application. Adv. Funct. Mater. 2020, 30, 2005744. [Google Scholar] [CrossRef]
  11. Nguyen, V.T.H.; Jensen, F.; Hübner, J.; Leussink, P.; Jansen, H. On the formation of black silicon in SF6-O2 plasma: The clear, oxidize, remove, and etch (CORE) sequence and black silicon on demand. J. Vac. Sci. Technol. A Vac. Surf. Film 2020, 38, 043004. [Google Scholar] [CrossRef]
  12. Ding, J.; Zou, S.; Choi, J.; Cui, J.; Yuan, D.; Sun, H.; Wu, C.; Zhu, J.; Ye, X.; Su, X. A laser texturing study on multi-crystalline silicon solar cells. Sol. Energy Mater. Sol. Cells 2020, 214, 110587. [Google Scholar] [CrossRef]
  13. Arafat, M.; Islam, M.A.; Mahmood, A.W.B.; Abdullah, F.; Kiong, T.S.; Amin, N. Study of Black Silicon Wafer through Wet Chemical Etching for Parametric Optimization in Enhancing Solar Cell Performance by PC1D Numerical Simulation. Crystals 2021, 11, 881. [Google Scholar] [CrossRef]
  14. Zuo, Z.; Cui, G.; Shi, Y.; Liu, Y.; Ji, G. Gold-thickness-dependent Schottky barrier height for charge transfer in metal-assisted chemical etching of silicon. Nanoscale Res. Lett. 2013, 8, 193. [Google Scholar] [CrossRef] [Green Version]
  15. Akan, R.; Parfeniukas, K.; Vogt, C.; Toprak, M.; Vogt, U. Reaction control of metal-assisted chemical etching for silicon-based zone plate nanostructures. RSC Adv. 2018, 8, 12628–12634. [Google Scholar] [CrossRef] [Green Version]
  16. Kim, J.; Kim, Y.; Choi, S.; Lee, W. Curved Silicon Nanowires with Ribbon-like Cross Sections by Metal-Assisted Chemical Etching. ACS Nano 2011, 5, 5242–5248. [Google Scholar] [CrossRef]
  17. Venkatesan, R.; Arivalagan, M.; Venkatachalapathy, V.; Pearce, J.; Mayandi, J. Effects of silver catalyst concentration in metal assisted chemical etching of silicon. Mater. Lett. 2018, 221, 206–210. [Google Scholar] [CrossRef] [Green Version]
  18. Huang, Z.; Zhang, X.; Reiche, M.; Liu, L.; Lee, W.; Shimizu, T.; Senz, S.; Gösele, U. Extended Arrays of Vertically Aligned Sub-10 nm Diameter [100] Si Nanowires by Metal-Assisted Chemical Etching. Nano Lett. 2008, 8, 3046–3051. [Google Scholar] [CrossRef]
  19. Zheng, H.; Han, M.; Zheng, P.; Zheng, L.; Qin, H.; Deng, L. Porous silicon templates prepared by Cu-assisted chemical etching. Mater. Lett. 2014, 118, 146–149. [Google Scholar] [CrossRef]
  20. Volovlikova, O.; Gavrilov, S.; Lazarenko, P.; Kukin, A.; Dudin, A.; Tarhanov, A. Influence of Etching Regimes on the Reflectance of Black Silicon Films Formed by Ni-Assisted Chemical Etching. Key Eng. Mater. 2019, 806, 24–29. [Google Scholar] [CrossRef]
  21. Huang, Z.; Geyer, N.; Werner, P.; de Boor, J.; Gösele, U. Metal-Assisted Chemical Etching of Silicon: A Review. Adv. Mater. 2010, 23, 285–308. [Google Scholar] [CrossRef] [PubMed]
  22. Li, X.; Bohn, P. Metal-assisted chemical etching in HF/H2O2 produces porous silicon. Appl. Phys. Lett. 2000, 77, 2572–2574. [Google Scholar] [CrossRef]
  23. Zhang, P.; Jia, R.; Tao, K.; Jiang, S.; Dai, X.; Sun, H.; Jin, Z.; Ji, Z.; Liu, X.; Zhao, C.; et al. The influence of Ag-ion concentration on the performance of mc-Si silicon solar cells textured by metal assisted chemical etching (MACE) method. Sol. Energy Mater. Sol. Cells 2019, 200, 109983. [Google Scholar] [CrossRef]
  24. Chien, P.J.; Wei, T.C.; Chen, C.Y. High-Speed and Direction-Controlled Formation of Silicon Nanowire Arrays Assisted by Electric Field. Nanoscale Res. Lett. 2020, 15, 1–8. [Google Scholar] [CrossRef] [Green Version]
  25. Chartier, C.; Bastide, S.; Lévy-Clément, C. Metal-assisted chemical etching of silicon in HF–H2O2. Electrochim. Acta 2008, 53, 5509–5516. [Google Scholar] [CrossRef]
  26. Hildreth, O.; Lin, W.; Wong, C. Effect of Catalyst Shape and Etchant Composition on Etching Direction in Metal-Assisted Chemical Etching of Silicon to Fabricate 3D Nanostructures. ACS Nano 2009, 3, 4033–4042. [Google Scholar] [CrossRef] [PubMed]
  27. Hildreth, O.; Wong, C. Nano-metal-Assisted Chemical Etching for Fabricating Semiconductor and Optoelectronic Devices. In Mater. Advanced Packaging; Springer: Berlin/Heidelberg, Germany, 2016; pp. 879–922. [Google Scholar]
  28. Wang, Y.; Liu, Y.; Liang, H.; Mei, Z.; Du, X. Broadband antireflection on the silicon surface realized by Ag nanoparticle-patterned black silicon. Phys. Chem. Chem. Phys. 2013, 15, 2345. [Google Scholar] [CrossRef] [PubMed]
  29. Han, H.; Huang, Z.; Lee, W. Metal-assisted chemical etching of silicon and nanotechnology applications. Nano Today 2014, 9, 271–304. [Google Scholar] [CrossRef] [Green Version]
  30. Liu, R.; Zhang, F.; Con, C.; Cui, B.; Sun, B. Lithography-free fabrication of silicon nanowire and nanohole arrays by metal-assisted chemical etching. Nanoscale Res. Lett. 2013, 8, 155. [Google Scholar] [CrossRef] [Green Version]
  31. Wu, Y.; Gao, F.; Wu, H.; Liu, X.; Zheng, X.; Liu, S.; Wang, H.; Zhou, S.; Li, F. The effects of Ag particle morphology on the antireflective properties of silicon textured using Ag-assisted chemical etching. J. Alloy. Compd. 2016, 670, 156–160. [Google Scholar] [CrossRef]
  32. Pakhuruddin, M.; Huang, J.; Dore, J.; Varlamov, S. Enhanced light-trapping in laser-crystallised silicon thin-film solar cells on glass by optimised back surface reflectors. Sol. Energy 2017, 150, 477–484. [Google Scholar] [CrossRef]
  33. Tsujino, K.; Matsumura, M. Morphology of nanoholes formed in silicon by wet etching in solutions containing HF and H2O2 at different concentrations using silver nanoparticles as catalysts. Electrochim. Acta 2007, 53, 28–34. [Google Scholar] [CrossRef]
  34. Dawood, M.; Tripathy, S.; Dolmanan, S.; Ng, T.; Tan, H.; Lam, J. Influence of catalytic gold and silver metal nanoparticles on structural, optical, and vibrational properties of silicon nanowires synthesized by metal-assisted chemical etching. J. Appl. Phys. 2012, 112, 073509. [Google Scholar] [CrossRef]
  35. Li, X.; Gao, Z.; Zhang, D.; Tao, K.; Jia, R.; Jiang, S.; Wang, B.; Jin, Z.; Liu, X. High-efficiency multi-crystalline black silicon solar cells achieved by additive assisted Ag-MACE. Sol. Energy 2020, 195, 176–184. [Google Scholar] [CrossRef]
  36. Sato, N.; Sakaguchi, K.; Yamagata, K.; Fujiyama, Y.; Yonehara, T. Epitaxial Growth on Porous Si for a New Bond and Etchback Silicon-on-Insulator. J. Electrochem. Soc. 1995, 142, 3116–3122. [Google Scholar] [CrossRef]
  37. Huang, Z.P.; Geyer, N.; Liu, L.F.; Li, M.Y.; Zhong, P. Metal-assisted electrochemical etching of silicon. Nanotechnology 2010, 21, 465301. [Google Scholar] [CrossRef]
  38. Cowley, A.; Sze, S. Surface States and Barrier Height of Metal-Semiconductor Systems. J. Appl. Phys 1965, 36, 3212–3220. [Google Scholar] [CrossRef]
  39. Lehmann, V. The Electrochemistry of Silicon; Wiley-VCH: Weinheim, Germany, 2010. [Google Scholar]
  40. Chattopadhyay, S.; Li, X.; Bohn, P. In-plane control of morphology and tunable photoluminescence in porous silicon produced by metal-assisted electroless chemical etching. J. Appl. Phys. 2002, 91, 6134–6140. [Google Scholar] [CrossRef]
  41. Peng, K.Q.; Hu, J.J.; Yan, Y.J.; Wu, Y.; Fang, H.; Xu, Y.; Lee, S.T.; Zhu, J. Fabrication of Single-Crystalline Silicon Nanowires by Scratching a Silicon Surface with Catalytic Metal Particles. Adv. Funct. Mater. 2006, 16, 387–394. [Google Scholar] [CrossRef]
  42. Peng, K.; Wu, Y.; Fang, H.; Zhong, X.; Xu, Y.; Zhu, J. Uniform, Axial-Orientation Alignment of One-Dimensional Single-Crystal Silicon Nanostructure Arrays. Angew. Chem. Int. Ed. 2005, 44, 2737–2742. [Google Scholar] [CrossRef]
  43. Hiraki, A.; Nicolet, M.; Mayer, J. Low-Temperature Migration of Silicon In Thin Layers of Gold And Platinum. Appl. Phys. Lett. 1971, 18, 178–181. [Google Scholar] [CrossRef]
  44. Cros, A.; Derrien, J.; Salvan, F. Catalytic action of gold atoms on the oxidation of Si(111) surfaces. Surf. Sci. 1981, 110, 471–490. [Google Scholar] [CrossRef]
  45. Xie, T.; Schmidt, V.; Pippel, E.; Senz, S.; Gösele, U. Gold-Enhanced Low-Temperature Oxidation of Silicon Nanowires. Small 2008, 4, 64–68. [Google Scholar] [CrossRef]
  46. Werner, P.; Büttner, C.; Schubert, L.; Gerth, G.; Zakarov, N.; Gösele, U. Gold-enhanced oxidation of silicon nanowires. Int. J. Mater. Res. 2007, 98, 1066–1070. [Google Scholar] [CrossRef]
  47. Büttner, C.; Zakharov, N.; Pippel, E.; Gösele, U.; Werner, P. Gold-enhanced oxidation of MBE-grown silicon nanowires. Semicond. Sci. Technol. 2008, 23, 075040. [Google Scholar] [CrossRef]
  48. Toofan, M.; Toofan, J. A Brief Review of the Cleaning Process for Electronic Device Fabrication. Dev. Surf. Contam. Clean. 2015, 185–212. [Google Scholar] [CrossRef]
  49. Veenendaal, E.V.; Sato, K.; Shikida, M.; Nijdam, A.; Suchtelen, J.V. Micro-morphology of single crystalline silicon surfaces during anisotropic wet chemical etching in KOH: Velocity source forests. Sens. Actuators A Phys. 2001, 93, 232–242. [Google Scholar] [CrossRef]
  50. Bean, K. Anisotropic etching of silicon. IEEE Trans. Electron Devices 1978, 25, 1185–1193. [Google Scholar] [CrossRef]
  51. Seidel, H.; Csepregi, L.; Heuberger, A.; Baumgärtel, H. Anisotropic etching of crystalline silicon in alkaline solutions: I. Orientation dependence and behavior of passivation layers. J. Electrochem. Soc. 1990, 137, 3612. [Google Scholar] [CrossRef]
  52. Seidel, H.; Csepregi, L.; Heuberger, A.; Baumgärtel, H. Anisotropic etching of crystalline silicon in alkaline solutions: II. Influence of dopants. J. Electrochem. Soc. 1990, 137, 3626. [Google Scholar] [CrossRef]
  53. Pal, P.; Sato, K. A comprehensive review on convex and concave corners in silicon bulk micromachining based on anisotropic wet chemical etching. Micro Nano Syst. Lett. 2015, 3, 6. [Google Scholar] [CrossRef] [Green Version]
  54. Frühauf, J.; Krönert, S. Wet etching of silicon gratings with triangular profiles. Microsyst. Technol. 2005, 11, 1287–1291. [Google Scholar] [CrossRef]
  55. Li, S.; Ma, W.; Chen, X.; Xie, K.; Li, Y.; He, X.; Yang, X.; Lei, Y. Structure and antireflection properties of SiNWs arrays form mc-Si wafer through Ag-catalyzed chemical etching. Appl. Surf. Sci. 2016, 369, 232–240. [Google Scholar] [CrossRef]
  56. Abdulkadir, A.; Aziz, A.B.A.; Pakhuruddin, M.Z. Optimization of etching time for broadband absorption enhancement in black silicon fabricated by one-step electroless silver-assisted wet chemical etching. Optik 2019, 187, 74–80. [Google Scholar] [CrossRef]
  57. Lajvardi, M.; Eshghi, H.; Ghazi, M.; Izadifard, M.; Goodarzi, A. Structural and optical properties of silicon nanowires synthesized by Ag-assisted chemical etching. Mater. Sci. Semicond. Process. 2015, 40, 556–563. [Google Scholar] [CrossRef]
  58. Zhang, M.-L.; Peng, K.-Q.; Fan, X.; Jie, J.-S.; Zhang, R.-Q.; Lee, S.-T.; Wong, N.-B. Preparation of Large-Area Uniform Silicon Nanowires Arrays through Metal-Assisted Chemical Etching. J. Phys. Chem. C 2008, 112, 4444–4450. [Google Scholar] [CrossRef]
  59. Cao, Y.; Zhou, Y.; Liu, F.; Zhou, Y.; Zhang, Y.; Liu, Y.; Guo, Y. Progress and Mechanism of Cu Assisted Chemical Etching of Silicon in a Low Cu2+Concentration Region. ECS J. Solid State Sci. Technol. 2015, 4, P331–P336. [Google Scholar] [CrossRef]
  60. Lee, J.; Choi, S.; Park, S. Extremely Superhydrophobic Surfaces with Micro- and Nanostructures Fabricated by Copper Catalytic Etching. Langmuir 2011, 27, 809–814. [Google Scholar] [CrossRef]
  61. Park, J.E.; Cho, Y.H.; Kang, S.; Hong, H.K.; Kim, D.S.; Lim, D. Effect of Cu-Assisted Chemical Etching for Black Silicon. In Proceedings of the IEEE 7th World Conference on Photovoltaic Energy Conversion (WCPEC) (A Joint Conference of 45th IEEE PVSC, 28th PVSEC & 34th EU PVSEC), Waikoloa Village, HI, USA, 10–15 June 2018; pp. 1047–1050. [Google Scholar]
  62. Chen, W.; Liu, Y.; Yang, L.; Wu, J.; Chen, Q.; Zhao, Y.; Wang, Y.; Du, X. Difference in anisotropic etching characteristics of alkaline and copper based acid solutions for single-crystalline Si. Sci. Rep. 2018, 8, 3408. [Google Scholar] [CrossRef]
  63. Lu, Y.; Barron, A. Anti-reflection layers fabricated by a one-step copper-assisted chemical etching with inverted pyramidal structures intermediate between texturing and nanopore-type black silicon. J. Mater. Chem. A 2014, 2, 12043. [Google Scholar] [CrossRef]
  64. Chern, W.; Hsu, K.; Chun, S.I.; Azeredo, B.P.D.; Ahmed, N.; Kim, K.; Zou, J.; Fang, N.; Ferreira, P.; Li, X. Nonlithographic Patterning and Metal-Assisted Chemical Etching for Manufacturing of Tunable Light-Emitting Silicon Nanowire Arrays. Nano Lett. 2010, 10, 1582–1588. [Google Scholar] [CrossRef]
  65. Peng, K.; Lu, A.; Zhang, R.; Lee, S. Motility of Metal Nanoparticles in Silicon and Induced Anisotropic Silicon Etching. Adv. Funct. Mater. 2008, 18, 3026–3035. [Google Scholar] [CrossRef]
  66. Zhao, Y.; Liu, Y.; Chen, W.; Wu, J.; Chen, Q.; Tang, H.; Wang, Y.; Du, X. Regulation of surface texturization through copper-assisted chemical etching for silicon solar cells. Sol. Energy 2020, 201, 461–468. [Google Scholar] [CrossRef]
  67. Vahlman, H.; Haarahiltunen, A.; Kwapil, W.; Schön, J.; Inglese, A.; Savin, H. Modeling of light-induced degradation due to Cu precipitation in p-type silicon. I. General theory of precipitation under carrier injection. J. Appl. Phys. 2017, 121, 195703. [Google Scholar] [CrossRef] [Green Version]
  68. Gao, K.; Shen, H.; Liu, Y.; Jiang, Y.; Zheng, C.; Li, Y.; Ren, S.; Huang, C. Enhanced etching rate of black silicon by Cu/Ni Co-assisted chemical etching process. Mater. Sci. Semicond. Process. 2018, 88, 250–255. [Google Scholar] [CrossRef]
  69. Gao, K.; Shen, H.; Liu, Y.; Tang, Q.; Jiang, Y.; Yang, W.; Li, Y.; Huang, C. Fabrication of black silicon by Ni assisted chemical etching. Mater. Res. Express 2018, 5, 015020. [Google Scholar] [CrossRef]
  70. Kong, L.; Chiam, S.; Chim, W. Metal-Assisted Silicon Chemical Etching Using Self-Assembled Sacrificial Nickel Nanoparticles Template for Antireflection Layers in Photovoltaic and Light-Trapping Devices. ACS Appl. Nano Mater. 2019, 2, 7025–7031. [Google Scholar] [CrossRef]
  71. Stafiniak, A.; Prażmowska, J.; Macherzyński, W.; Paszkiewicz, R. Nanostructuring of Si substrates by a metal-assisted chemical etching and dewetting process. RSC Adv. 2018, 8, 31224–31230. [Google Scholar] [CrossRef] [Green Version]
  72. Saive, R. “Light trapping in thin silicon solar cells: A review on fundamentals and technologies. ” Prog. Photovolt. Res. Appl. 2021, 29, 1125–1137. [Google Scholar] [CrossRef]
Figure 1. Schematic diagram of the MACE method. (a) H2O2 is converted to H2O in the presence of Ag catalyst particles and holes (2 h+) are injected into the Si valence band, which creates a hole (h+)-rich region around the catalyst particle. (b) The holes (h+) are consumed at the HF interface by the oxidation of Si0 to Si4+ to form soluble H2SiF6. (c) As the Si around and/or beneath the nanoparticle is dissolved, the Ag nanoparticles are move into the silicon wafer [26].
Figure 1. Schematic diagram of the MACE method. (a) H2O2 is converted to H2O in the presence of Ag catalyst particles and holes (2 h+) are injected into the Si valence band, which creates a hole (h+)-rich region around the catalyst particle. (b) The holes (h+) are consumed at the HF interface by the oxidation of Si0 to Si4+ to form soluble H2SiF6. (c) As the Si around and/or beneath the nanoparticle is dissolved, the Ag nanoparticles are move into the silicon wafer [26].
Sustainability 13 10766 g001
Figure 2. Standard potential diagram of reducing strength during MACE and the standard reduction potentials of Ag, Cu, and Ni metals.
Figure 2. Standard potential diagram of reducing strength during MACE and the standard reduction potentials of Ag, Cu, and Ni metals.
Sustainability 13 10766 g002
Figure 3. Illustration of two theories of diffusion models during MACE. Model 1: The reagent and by-product diffuse along with the interface between the noble metal and the wall of the etched structure. Model 2: A Si atom is dissolved into the noble metal and diffuses through it and is then oxidized on the surface of noble metal.
Figure 3. Illustration of two theories of diffusion models during MACE. Model 1: The reagent and by-product diffuse along with the interface between the noble metal and the wall of the etched structure. Model 2: A Si atom is dissolved into the noble metal and diffuses through it and is then oxidized on the surface of noble metal.
Sustainability 13 10766 g003
Figure 4. Schematic diagram of the isotropic (left) and anisotropic (right) etching processes.
Figure 4. Schematic diagram of the isotropic (left) and anisotropic (right) etching processes.
Sustainability 13 10766 g004
Figure 5. Atomic representations and microscopic pictures of three Si surface orientations with their corresponding directions, (a) for Si(100), (b) for Si(110) and (c) for Si(111) [50].
Figure 5. Atomic representations and microscopic pictures of three Si surface orientations with their corresponding directions, (a) for Si(100), (b) for Si(110) and (c) for Si(111) [50].
Sustainability 13 10766 g005
Figure 6. The SEM images of Si nanowire textured surfaces. (a) Top view, (b) Reflection curve of BSi wafers after MACE with Ag at different times [56].
Figure 6. The SEM images of Si nanowire textured surfaces. (a) Top view, (b) Reflection curve of BSi wafers after MACE with Ag at different times [56].
Sustainability 13 10766 g006
Figure 7. SEM cross-sectional view of Si nanowires [58].
Figure 7. SEM cross-sectional view of Si nanowires [58].
Sustainability 13 10766 g007
Figure 8. The SEM images of Si surfaces (a) after enhancing the etching ratio of H2O2:HF [61], (b) with inverted pyramid structure [62].
Figure 8. The SEM images of Si surfaces (a) after enhancing the etching ratio of H2O2:HF [61], (b) with inverted pyramid structure [62].
Sustainability 13 10766 g008
Figure 9. The SEM images of Si surfaces. (a) Upright and (b) inverted pyramidal structure. (c) Sharp reduction of reflectance from the upright pyramidal structure to the inverted pyramidal textured surface [66].
Figure 9. The SEM images of Si surfaces. (a) Upright and (b) inverted pyramidal structure. (c) Sharp reduction of reflectance from the upright pyramidal structure to the inverted pyramidal textured surface [66].
Sustainability 13 10766 g009
Figure 10. The SEM images of Si surfaces containing inverted pyramidal textured shape. (a) Top view [68], (b) cross-sectional view. (c) Reflectivity rates of Ni-etched BSi in four different concentrations of HF solution, where 2.3% is the lowest reflectivity [69].
Figure 10. The SEM images of Si surfaces containing inverted pyramidal textured shape. (a) Top view [68], (b) cross-sectional view. (c) Reflectivity rates of Ni-etched BSi in four different concentrations of HF solution, where 2.3% is the lowest reflectivity [69].
Sustainability 13 10766 g010aSustainability 13 10766 g010b
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Arafat, M.Y.; Islam, M.A.; Mahmood, A.W.B.; Abdullah, F.; Nur-E-Alam, M.; Kiong, T.S.; Amin, N. Fabrication of Black Silicon via Metal-Assisted Chemical Etching—A Review. Sustainability 2021, 13, 10766. https://doi.org/10.3390/su131910766

AMA Style

Arafat MY, Islam MA, Mahmood AWB, Abdullah F, Nur-E-Alam M, Kiong TS, Amin N. Fabrication of Black Silicon via Metal-Assisted Chemical Etching—A Review. Sustainability. 2021; 13(19):10766. https://doi.org/10.3390/su131910766

Chicago/Turabian Style

Arafat, Mohammad Yasir, Mohammad Aminul Islam, Ahmad Wafi Bin Mahmood, Fairuz Abdullah, Mohammad Nur-E-Alam, Tiong Sieh Kiong, and Nowshad Amin. 2021. "Fabrication of Black Silicon via Metal-Assisted Chemical Etching—A Review" Sustainability 13, no. 19: 10766. https://doi.org/10.3390/su131910766

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop